автореферат диссертации по электронике, 05.27.01, диссертация на тему:Прецизионная литография в твердотельной электронике и микроэлектронике

доктора технических наук
Марголин, Владимир Игоревич
город
Санкт-Петербург
год
1998
специальность ВАК РФ
05.27.01
Автореферат по электронике на тему «Прецизионная литография в твердотельной электронике и микроэлектронике»

Автореферат диссертации по теме "Прецизионная литография в твердотельной электронике и микроэлектронике"

РГб

од

На правах рукописи

Марголии Владимир Игоревич

ПРЕЦИЗИОННАЯ ЛИТОГРАФИЯ В ТВЕРДОТЕЛЬНОЙ ЭЛЕКТРОНИКЕ И МИКРОЭЛЕКТРОНИКЕ

Специальность: 05.27.01 -Твердотельная электроника, микроэлектроника и

наиоэлектроника

Автореферат диссертации на соискание ученой степени доктора технических наук

Санкт-Петербург - 1998

Работа выполнена в Санкт-Петербургском государственном электротехничеСкогом университете.

Официальные оппоненты: Член-корреспондент РАН,

доктор физико-математических наук, профессор Аристов В.В. доктор технических наук, профессор , Комяк Н.Й.

доктор технических наук, профессор Бубнов Ю.З.

Ведущее предприятие - Физико-технический институт им. А.Ф. Иоффе РАН, г. Санкт-Петербург

Защита состоится "¿6 " ссирия. 1998 г. в // часов на заседании диссертационного совета Д 036.36.06 Санкт-Петербургского государственного электротехнического университета им. В.И. Ульянова (Ленина) по адресу: 197376, г. Санкт-Петербург, ул. проф. Попова, 5.

С диссертацией можно ознакомиться в библиотеке университета.

Автореферат разослан "АС" ^йаЗ) 1998 г.

Ученый секретарь диссертационного совета

Мошников В.А.

-I-

СБЩАЯ ХАРАКТЕРИСТИК РАБОТЫ

Актуальность проведенных исследований обусловлена там, что в современной шкротехнолопш одним из основных процессов, формирующих топологию и структуру интегральных схем является процесс микролитографии, причЗм именно он определяет максимально возможное разрешение и на него падает значительная часть расходов в процессе производства изделий микроэлектроники. С точки зрения разрека-щей способности традиционный метод микролитографии - фотолитография - исчерпал свои возможности и сейчас происходит активная замена его на болев прецизионные процессы, тате как электронная литография и лазерная безрезистная литография для индивидуальной генерации рисунка и электронная и рентгеновская литография в качества группового метода обработки рисунка.

Целью настоящей работы явились исследования процессов взаимодействия электронного, рентгеновского и лазерного излучения о резистпыми материалами для повышения производительности и разрешающей способности микролитографии в производстве элементов микроэлектроники.

Основными задачами проводившихся исследований являлись:

1. Анализ процессов анврговыделения и рассеяния электронов в системе резист-подложка в процессах электронной литографии и создание ряда моделей, позволяндюс с различной степенью приближения ошсывать процессы, происходящие при электронно-лучевом экспонировании резистов и рассчитывать характеристики этого процесса и анализ возможностей метода зонно-электронной литографии и ' реальности ого практического применения.

2. Анализ процесса термического взаимодействия лазерного излучения с тонкопленочнымиструктурами. Разработка экспериментального макета для исследования процесса генерации микрорисунка на внутренней поверхности криволинейных образцов методом лазерной безре-зистной литографии. Исследование возможности процесса лазерной безрезистной литографии на внутренней поверхности криволинейных образцов для создания конкретных образцоь элементов электроники.

3. Разработка экспериментальных макетоз для исследования взаимодействия рентгеновского излучения с системой резист-подложка, выбор параметров источника рентгеновского излучения, расчёт и конструированзе электронной пушки и макета для исследования литографических характеристик рвнтгвнорезистов, включая разработку и изготовление экспериментального образца реятгенсяаблоаа.

24. Разработка н изготовление оксперимептелыюго комплекса оборудования для исследования процесса электронной литографии, вклота-вдего в себя электронно-лучевой генератор изображения с фототелевизионным вводом информации и генератор линий однократного сканирования; экспериментальный макет для исследования литографических характеристик электронных рэзистов; елвктронный шаблон для исследования литографических характеристик резкетов; экспериментальный макет для исследования процессов ионно-элвктронной литографии; устройство для исследования спектров обратного отражения йлактронов от подложки.

5. Исследование литографических характеристик современных влвк-троно и рентгенорезистов и их ионной и плазменной стойкости в процессах прецизионного травления; исследование спектров отражения электронов от поверхности подложек и профилей линий однократного сканирования с целью модификации разработанных модельных представлений о процессах анврговыделвния и рассеяния влэктронов в слое резиста. Разработка методов повышения производительности и разрошамцей способности метода электронной литографии с учбтом возможностей существующего оборудования.

6. Исследование и разработка возможности осуществления методов ыихролитографии на явпяоеких подложках, вписывающихся в радиальную симметрию современных процессов микролитографии.

В результате проведбнной работы получены следующие новые научные результаты:

-Разработана аналитическая модель, позволявшая исходя из параметров материала рвзиста, подложки и экспонирующего электронного пучка рассчитывать процесс энарговндэлания в слое резиста за счет первичных и обратно-отражЗтшх электронов, определять профиль поглощенной в слое резиста энергии в зоне пучка и в зоне геометрической тени, рассчитывать изменение размеров зоны обработки в зависимости от параметров процесса. Разработана методика определения удельной критической анергии структурирования резиста.

- Разработан номограммный метод расчета процесса энерговыдо-делвпия в рэзисте, позволяющий рассчэтным путем получить энергетическую контрастно-чувствительную характеристику резиста. Для повышения энергетического контраста резиста предложен метод ступенчатого пошагового экспонировгпия с дискретно переменной дозой и анергией зкепонирущих электронов.Одним из путей повышения разрешавшей способности злвкгронолитографии является примешало низковольтного режима экспедирования, при котором дата пробега влэ-

дтронов в резисте совпадает с его толщиной.

- Предложена методика определения зоны формирования скрытого изображения и зоны эффекта близости. Установлено, что зона формирования скрытого изображения определяется в основном высокозяер-гетичной лишей спектра обратно-отраженных электронов, в то врем как зона эффекта близости - характеристиками материала резяста, в основном чувствительности и контрастностью.

- Обоснована методика исследования контрастно-чувстватвль-ных характеристик электронорезастов. Установлено, что зависимости чувствительности и контраста от энергии электронов имеет резко выраженный максимум, лежащий в низковольтной области, при в том с пониженяам энергии электронов уменьаается ионная стойкость а адгезия к подложке. Установлена аномальная зависимость контрастяо-чувствитэльной характеристики негативных электронорезистов от энергии электронов в процессах низковольтной электронолитографии, выражаыцаяся в неличаи участка насыщения в области средних доз между пороговой и критической дозами.

- Исследована возможность применения для целей электронолитографии метода иояно-электронной эмиссии. Установлено, что с точки зрения производительности и разрешающей способности алвк-^ронолитографш этот метод может быть рекомендовав для практически! целей. Установлено, что производительность проекционной электронолитографии при применении метода ионно-электронной литографии существенно повышается, а число допустимых экспозиций Значительно больше, чем при применении традиционных методов проекционной электронолитографии.

- Обнаружена и исследована зависимость пространственного распределения концентрации радаационно-хямических превращений в слое резиста типа ПММА от формы поверхности подложки при электронно-лучевом и рентгеновском экспонировании, зависимость плотности поверхностных дефектов кристаллической структуры кремниевых пластин от радиуса кривизны контролируемого изгиба, диаметра пластан и режима отжига дефектов при механическом изгибе плоских пластин.

Практическая ценность полученных результатов выражается в в следующем:

- Разработан ряд моделей процесса взаимодействия электронного пучка с системой резяст-подгожкэ, которые использовались для компенсации "эффекта близости" в системах электронной литографии. Разработаны методики, позволяющие рассчитать парамэтры токнологического процесса элвктронолитографаи с заданным значением анерге-

тического контраста.

- разработанный номогряммный метод расч§та процэсса пошагового экспонирования используется в учебном процессе кафедры МИТ СПбГЭТУ пря чтении курсов "Физико-химические основы технологии РЗС" и "Основы нанотехнологии".

- Разработан кошлокс оборудования для исследования литографических характеристик элвктронорезистов, получения и исследования линий однократного сканирования и возможностей метода ионно-электронной литографии. Разработан и изготовлен экспериментальный макет для исследования литографических параметров процессе рентгеновской литографии, включающий источник электронов, мишень со сменными анодами и рентгеношаблон. Разработаны способы повышения производительности и разрешающей способности литографических методов, включая рекомендации по повышению ионной и плазменной стойкости резястов.

- Установлена возможность практического применения метода ионно-элвктронной литографии для целей мякротехнологш, оценены преимущества и недостатки этого метода, выработаны предварительные рекомендации по его применению.

- Исследованы спектральные зависимости коэффициентов поглощения рентгеворезистов, выработаны рекомендации по оптимизации процесса рентгеновского экспонирования. Предложен ряд устройств и способов, позволяющих повысить производительность и разрешающей способность процесса рентгеновского экспонирования благодаря использованию сферических подложек и шаблонов.

- Разработан экспериментальный макет дяя осуществления процесса лазерной безрезистной литографии на внутренней поверхности цилиндрических образцов. Найдены параметры оптимального режима, позволяющего производить обработку с внешней стороны образца. Изготовлены образцы элементов прлемно-пвреданцих телевизионных трубок с электростатическим отклонением луча.

- Предложены оригинальные способы и устройства электроннолучевого экспонирования, предложены конструкции устройств для нанесения вязких резистов на поверхность подложек и способ вакуумного термического напыления, позволящие повысить равномерность распределения толщины слоя резиста по площади подложек.

Полученные новые научные результаты позволяют сформулировать научные положения, виносимие на защиту.

1. Зависимость чувствительности, контраста и ионной стойкос-тирезистов от энергии электронов имелт экстремум в низковольтной

области. а контрастно-чувствительные характеристики область насыщения мезду пороговой и критической дозами облучения.

2. Увеличение производительности и разрешающей способности электронолитографш, достигается путем использования ступончатих процессов экспонирования разистов, обеспечивающих дополнительное выделение энергии в верхних слоях резиста.

3. Оптимальный режим лазерной безрезистной литографии на криволинейной прозрачной поверхности достигается при совокупности параметров, связывающих коэффициент пропускания подложки, длину волны, мощность, длительность импульса и частоту следования лазерного излучения и скорость перемещения потока излучения по поверхности образца.

4. Метод контролируемой обратимой деформации подложек позволяет сочетать радиальную симметрию большинства современных технологических процессов и пленарную форму обрабатываемых подложек.

Апробация работы. Основные результаты, выводы и рекомендации работы докладывались на в Международных конференциях; 22 Всесоюзных конференциях, семинарах и симпозиумах; Э Республиканских конференциях и 1 Региональной конференции.

Публикации. По теме диссертации депонированы в ВИНИТИ 2 монографии, опубликовано 109 печатных работ, включая 3? статей, 43 тезиса докладов, 14 авторских свидетельств на изобретения СССР и 15 авторских свидетельств и заявок на изобретения ПНР.

Результаты диссертационной работы внедрены на ряда промышленных предприятий и ВУЗов Российской Федерации.

Структура и объем работы. Диссертация состоит из введения, четйрех глав, заключения, списка литературы, включащвго 258 наименований и приложения, в котором приведены акты в внедрения и использования результатов диссертационной работы. Основная часть работы изложена на 241 странице машинописного текста. Работа содержит 82 рисунка.

СОДЕРЖАНКЕ РАБОТЫ

Во ввэдении обоснована актуальность темы, представлен анализ современного состояния вопроса, сформулированы цель и задачи исследований, определены научная новизна и практическая ценность подученных результатов, выделены научные положения, выносимый на защиту.

В первой главе приводятся результаты исследований по анализу

роли первичных и.отраженных электронов в процессах электронолито-графш, позволившие связать достижимое разрешение не с диаметром электронного пучкэ, а с размерами зоны, в которой поглощается энергия, достаточная для полимеризации или деструкции резиста. Описана разработанная аналитическая модель, позволявшая в первом приближении оценивать влияние на уширение зоны обработки первичных и обратно-отрвжонных электронов.

Модель рассматривает порознь процессы прямого и обратного рассеяния электронов и процессы знэрговыделения, при этом средняя потеря энергии электроном заменена наиболее вероятной, приняв также, что при многократном рассеянии электронов в слое резиота щ распределение подчиняется нормальному закону. При расчете влияния обратно-отраженных электронов получены выражения, позволяющие рассчитывать их количество и энергию. Как показали описанные в 3 глава ,результаты экспериментальных исследований, эта модель справедлива для частного случая топологических структур, для форм с геометрическими размерами порядка полуширины распределения обратно-отраженных электронов и менее.

Для более точного моделирования был проведен анализ процессов, происходящих в зоне пучка и в зоне геометрической тени с учетом влияния процессов знэрговыделения на профиль получаемой линии. Полученные результаты позволяют утверждать, что перспективным с точки зрения получения высокой производительности процесса экспонирования и достижения высокого разрешения являвтся низковольтный режим. Предложено считать оптимальным режим, при котором глубина пробега электронов совпадает с толщиной резиста. Для определения энергии, выделившейся в резисте под воздействием электронного пучка в зависимости от глубины резиста разработан номог-раммный метод, позволяющий провести расчеты в рамках одной номограммы. При этом вводятся понятие энергетического контраста, оперирующее с величиной выделившейся энергии, а не с дозой.

Номзграммный метод позволяет рассчитать параметры процесса ступенчатого экспонирования резиста пучками электронов с перемэн-ной энергией и переменной дозой и определить их значения для каждого шага экспонирования, задаваясь при этом необходимым значением энергетического контраста в качестве исходного параметра. При этом создается возможность за счет пошагового ступенчатого экспонирования добиваться необходимого профиля распределения выдзлив-шейоя энергии, и, соответственно профиля получаемой линии.

Для приведения развитых представлений в соответствие с по-

лученными экспериментальными данными была разработана модель, ориентированная на компьютерные вычисления и позволяющая производить расчеты процесса энерговыделения в зоне электронного пучка и в зоне геометрической тени с учетом рассеяния первичных и обратно-отраженных электронов и рассчитывать профиль получаемой линии, т.е. сочетащая достоинства аналитической и номограммной модели. Эта модель позволяет определять также и удельную критическую энергию полимеризации или деструкции, для чего необходимо дополнительно знать распределение обратно-отраженных электронов по энергиям и соответствующим им интенсивностям.

В связи с этим были проведены эксперименты по исследованию спектров обратного отражения электронов от кремниевых и алюминиевых подложек и установлены некоторые общие закономерности, что позволило построить гистограмму обобщенного спвктра обратно отра-жэнных электронов и получить эмпирические формулы, позволяющие рассчитать интенсивность и энергию электронов для каждой полосы спектра. Полученная гистограмма легко формализуется для компьютерных расчетов. Для расчетов по данной модели была разработана компьютерная программа, позволяющая рассчитать уширение получаемой на резисте линии и ее профиль в зависимости от параметров процесса и характеристик используемых материалов.

При разработке модели вводятся понятия "зоны формирования скрытого изображения" (определяет минимальное расстояние между линиями, исключающее возможность образования нежелательных элемэ-тов рисунка, вознякамргг за счет перекрытия зон формирования скрытого изображения) и "зоны эффекта близости" (ограничена линией равной поглощенной энергии, соответствующей удельной критической энергии полимеризации или деструкции резиста). Зона формирования скрытого изображения определяет максимально возможное при самых Неблагоприятных условиях экспозиции и самых плохих характеристиках используемых материалов распрострзненив первичных и обратно-отраженных электронов в слое резиста за пределами области, соответствующей геометрии электронного пучка.

Изменение зоны эффекта близости в зависимости от энергии облучения определяется в основном материалом резиста. С увеличением дозы облучения зона эффекта близости будет увеличиваться но та при каких условиях не превысит по размерам зоны формирования скрытого изображения. Для достижения максимального разрешения необходимо минимизировать размеры зоны аф^рктэ близости я пони формирования скрытого изображения, что определяется оптимальным под-

бором материалов, рееиота и подложки, энергии экспонирующих электронов и дозы облучения и толщины резиста.

Часть описанных выше методик, особенно связанных со способом пошагового экспонирования резиста дискретно-переменной дозой с наибольшей выгодой могут быть реализованы в процессах проекционной злектронолитографни, однако успешное внедрение ее в практику сдерживается рядом проблем, основная из которых это отсутствие надежного, прецизионного и долгоживущего источника электронов. Е связи с этим представляется интересным использовать для вмиссш электронов явление газового разряда и сопутствующую ему ионно-ал-ектрОнную эмцссию. Анализ зависимости коэффициента ионно-алектро-нной вмиссш (ИЭЭ) от энергии бомбардирующих ионов, от атомногс номера материала мшпеш и оценка роли атомов отдачи приводит I выводу, что с точки зрения производительности процесса метод ИЭ! удовлетворяет требованиям проекционной алектронолитографии.

Для оценки достижимого разрешения рассмотрено влияние энэр-гэтического распределения электронов, выходящих из катода в результате ионной бомбардировки в зону обработки. Как показывают предварительные оценки, в данном случае при использовании в качеств» рабочего газа воздуха или других, более легких рабочих газов, ве личина угла рассеяния меньше О,СИ рад. При малой длине пролетной пространства упругие соударения испытывает лишь малая часть злек тронов, покидавших катод, поэтому в первом приближении рассеяние! электронов из-за соударенийй с нейтральными атомами можно прене бречь.

Также в первой главе рассматривается процесс термическог взаимодействия лазерного излучения с тонкими пленками в процесса лазерной безрезистной литографии. В результате соответствущи математйческих операций можно получить общее решение дифференциа льного уравнения, которое описывает тшгаэратурноэ поле в любо точке, полуограниченного тела, нагреваемого поверхностным источни ком. Анализ полученных математических еаражаний позволил качест венно оценить влияние различных параметров микрообработки на тех нологический процесс лазерной литографии тонких пленок в том слу чае, когда обработка пленки ведется со стороны подложки. Это поз волило очертить границы параметров лазерного излучения, использз/ емого для этой цели.

Во второй главе рассматривается разработка комплекса ашарг туры и экспериментальных методик для исследования взаимодействг электронного, лазерного и рентгеновского излучения с системой ре

зист-подложка. Для экспериментальных исследований производительности и разрешающей способности электронолитографии использовался растровый электронный микроскоп РЭМН-2, подвергшийся модернизации для улучшения его характеристик. Ускоряющее напряжение было повышено до 30 кВ, микроскоп оснащен системами бланкирования луча, а для генерации изображения на резистэ в качестве источника управляющего сигнала использовалась промышленная телевизионная камера.

Для улучшения фронтов нарастания и спада видеосигнала и преобразования его в пакет импульсов П-образной формы был разработан блок-формирователь сигнала, обеспечивающий длительность фронта на более 10% от длительности самого короткого импульса (т.е. самого мелкого элемента, изображения). Для импульсов в 5 мкс достигнута длительность фронта 50 не. При длине строки 50 мкм система обеспечивает разрешение 0,08 мкм. Блок-формирователь также позволял получать на резистэ серии линий однократного сканирования.

Для исследования литографических характеристик электроноре-зистов была обоснована и разработана методика получения контрастно. чувствительных характеристик, позволяющая избежать погрешностей, связанных с измерением диаметра электронного пучка и распределения плотности тока по его сечению и была разработана соответствующая оснастка. Для проведения исследований были разработаны и изготовлены образцы элвктроно и рештеношзблояов.

Для проведения экспериментов по ионно-электронной литография был разработан экспериментальный макет, содержащий катод-маску и анод-подложку со слоем алектронорезиста, снаружи технологической камеры расположена электромагнитная система. Материалы монолита катода и эмиттирующего слоя рисунка подобраны так, что имеют различные значения коэффициента ионно-элвктронной эмиссии, за счет чего обеспечивается модуляция электронного потока по плотности. Между катодом и анодом зажигается высоковольтный тлеющий разряд и положительные ионы, образовавшиеся в разряде, пересекают границу области катодного падения напряжения, ускоряются в этой области и бомбардируют катод-часку.

В результате различия коэффициентов вторичной ионно-электро-нной эмиссии для разных участков поверхности катода-маски электронный поток промодулировзн но плотности, причем распределение плотности тока вторичных электронов в евчешях, параллельных поверхности катода, будет соответствовать рисунку мгеки. Продольное магнитное поле облегчает процесс нажигания разряда и корректирует характер движения вторичных электронов, покидяицих катод. Оно мо~

жэт использоваться для поворота, масштабирования и совмещения изображения. Контраст изображения определяется в основном соотношением коэффициентов ИЭЭ различных участков катода и отношением плотностей тока быстрых и медленных электронов, поступающих на анод-подложку.

При использовании катода, имеющего вид части сферы и нанесении рисунка на его внутреннюю поверхность размеры изображения пропорционально уменьшаться вдоль двух координатных осей, применение подобного катода позволяет скомпенсировать недостатки влвк-тронолитографии, связанные с радиальной симметрией пучковых технологий. При плотностях тока высоковольтного тлеющего разряда 10~2-10"3 А/см2 в системе ЮЭ для экспонирования резиста типа ГШМА требуется время 10~3-10-4с, что в 103—104 раз меньше, чем в системе проекционной электронолитографии. Следует отметить, что для качественного процесса ионно-электронной литографии необходимо применять высококонтрастные электронорезисты и более критически подходить к параметрам электронного пучка и особенно энергии электронов.

■ Для исследований литографических характеристик рентгенорези-стов был разработан экспериментальный макет, включающий источник рентгеновского излучения и рентгеношаблон. В качестве источника рентгеновского излучения была выбрана пушка Пирса со следующими параметрами: мощность 1 кВт, энергия электронов 10 кэВ (интенсивность рентгеновского излучения при атом 6 105 Вт/см2), диаметр пятна на мишени 2 мм, ток пучка 50 мА, расстояние до анода 40 мм. По рассчитанным данным относительно геометрических и других параметров электронно-оптической системы была изготовлена соответст-вующаяпушка и технологический макет, содержащий водоохлаздаэмый анод со сменными мишенями, затвор для перекрытия излучения, набор диафрагм, столик образцов и счетчик-монитор (для калибровки дозы рентгеновского излучения).

Поскольку расчеты, проведенные в первой главо лишь приблизительно обозначили диапазон параметров процесса лазерной безрезис-тной .литографии на внутренней поверхности цилиндрических образцов, прозрачных для лазерного излучения, то были разработаны зкс-перж.юнтальныо макеты для исследования процесса генерации рисунка. обеспечивание движение образца ло определенному закону под объективом устеновки, причем скорость перемещения образца под лучом постоянна и регулируется в диапазона с-т 5 до 50 мм/с. длина водны соотшто.твуот лазерному л злу чеша, которое додгкно поРл<хца-

ться металлизированной поверхностью и не должно поглощаться в то-ЛЩ8 етеяжаноД подложка. Макеты были рассчитаны на эксплуатации лазеров типа ЛТИ-501 с оптической схемой, выполнение* на базе установки ЭМ-200 и УФ лазера типа ЛГИ-21 с оптической схемой, вшю-лненной на базе установки ЭМ-551 А.

Третья глава посвящена результатам экспериментальных исследований в области микролятогр8фш и разработке методов повышения производительности я разрешающей способности процессов экспонирования резиста. Зависимость проявленной толщины резиста от дозы облучения является контрастно-чувствительной характеристикой резиста, из которой извлекаются данные о чувствительности и контрасте. В качестве исследуемых алектронорезистов использовались образца промышленных алектронорезистов: негативные ЭЛН-200 и ЭЛН-2.16, позитивный ЭЛП-20 и разработки алектронорезистов: негативный ЭЛН-301", позитивные ЭЛП -35 и ФАК-4.

Как следует из результатов исследований при энергия экспонирующих электронов лежащей в диапазоне 6-8 кэВ контрастно-чувствительная характеристика имеет аномальную ферму, выражающуюся б наличии "полки", лежащей в области, соответствующей максимуму энерговыделения за счет обратно-отраженных от подложки электронов. Это объясняется тем, что процесс структуризации начинается не только от границ раздела резист-подложка, но и примерно с середины пленочного слоя. При аномальном режиме доза облучения, соответствующая началу участка насыщения, превышает пороговую дозу облучения в два раза (предельный случай), а доза, соответствующая концу участка насыщения, превышает пороговую дозу облучения Не более, чем в четыре раза. При больших энергиях электронов (10 - 30 кэВ) ход кривых КЧХ соответствует нормальному режиму.

Наиболее интересные результаты получены при реализации низковольтного режима экспонирования (когда глубина пробега электрона в резисте совпадает с толщиной пленки). При энергии экспонирующих электронов 3,6 кэВ и толщине резиста 0,3 мкм влиянием обратно-отраженных электронов можно пренебречь. Зависимость энерговыделения в слое резиста от его толщины объясняет ход кривой КЧХ. Однако поведение кривой в области пороговой дозы облучения объясняется экспериментально обнаруженным фактом ухудшения адгезии резиста к подложке при пороговых дозах низковольтного режима облучения.

При этом обрввувтоя шгогаса роэиото тслпвшой порядка 0,1 мкм, но за счет неудовлетворительной адгезии смывается проявителем.

При этом наблюдается миграция по поверхности подложки незакрепив-шихся фрагментов генерируемого изображения. При дальнейшем увеличении дозы облучения элементы получаемого изображения закрепляются на подложке, но форма их искажена вследствие действия сил поверхностного натяжения, затем элементы изображения, приближаются по форме и размерам к изображению оригинала. Для всех исследуемых резистов рассчитывалась удельная критическая энергия и зависимости чувствительности и контраста от энергии электронов пучка.

Г

10

i-5

10'

-6

1.2

0,8

0,4

OTH.t JA-

10 15 20 Е.каВ

Е,кэВ 5

Рис.1. Завясмость чувствительное- Рис.2. Зависимость контраста Г ти (Q) от энергии электронов для от энергии электронов для рез-резистов ЭЛН-200(I) и ЭЛН-301 (2) истов ЭЛН-200-I, и ЭЛН-301-2

Анализ приведенных кривых показывает, что при больших энергиях электронов (25 кзВ и выше) чувствительность резистов хуже, чем при низких энергиях, что объясняется спецификой взаимодействия электронов с системой резист-подложка. Эксперименты также обнаружили, что при энергиях электронов меньших, чем те, которые соответствуют глубине пробега по Бете наблюдается процесс полимеризации резистной пленки на всю глубину, однако чувствительность резиста при этом очень резко падает. Исходя из возможностей имевшейся в ' распоряжении аппаратуры это явление было прослежено вплоть до анэргий электронов 500 эВ при толщинах резистной пленки 0,3 мкм.

Анализ зависимости контраста резиста от энергии экспонирующих электронов рис.2 позволяет утверждать, что имеется некоторая область энергий, где разрешающая способность алектронорезистов максимальна. Для различных резистов максимум чувствительности и контраста наблюдается при различных энергиях экспонирующего пучка. Из графика следует, что для электронорезиста ЭЛН-301 высшая чувствительность и наибольший контраст находятся в области 8 кэВ, а для резиста ЭЛН-200 в области G кэВ. При более низких энергиях электронов все меньшее их число достигает границы раздела рвзист-

подяоака, что приводит к необходимости увеличивать дозу экспонирования. Поэтому на последнем участке кривой ответственность за ухудшения контраста несут не обратно-отраженные электроны, а первичные элактровы, рассеянные в слов резиста. Этим объясняется спад кривой контраста на рис.2.и подъем кривой чувствительности на рис.1.

При анализе кривых была обсуждена и экспериментально подтверждена гипотеза о предпочтительности с точки зрения производительности и разрешения низкоанергетического диапазона, так как для полимеризации резне та на всю его толщину при этом требуется меньшая доза а меньше влияние обратно-отраженных электронов.г

Тзкэга была „исследованы литографические характеристики сухого резиста Ванил-Тд. Установлено, что при энергиях электронов 6 каВ и 8 кэВ зависимости толщины проявленного резиста от дозы облучения имеют наибольшую "полку", что соответствует максимальному эн~ ерговыделению за счат обратно-отраженных электронов. С увеличением анергии первичных электронов "полка" поднимается к поверхностному слои (при 8 кэВ она находится выше, чем при 6 кэВ). Из этого можно заключить, что максимум, энерговыделения за счет обратно-отраженных электронов при указанных выше технологических режимах приходится на различную глубину резиста, а при больших энергиях электронов эта зона достигает поверхности. Чувствительность резиста Винил-Та приблизительно того жэ порядка, что и у жидкостных резистов, но зависимость чувствительности от энергии электронов носит линейный характер и имеет малый угол наклона, что является существенным преимуществом, так как колебания значения энергии электронов не приводят к значительным колебаниям чувствительности а, следовательно, и к большому разбросу размеров генерируемого изображения.

Получение изображения на резисте не является самоцелью, поэтому необходимо исследовать стойкость получаемых защитлсс рельефов к процессам иопного и плазменного травления. Травление производилось ионами аргона с энергией 6 кэВ на базе модифицированной установки ЭН-1/1-10. Были определены рамки технологических режимов, обеспечивающих качественное травление. Установлено, что пленки резистов ЭЛН-200 и ЭЛН-216 после облучения ионами с дозой 1,5 х1017 иоя/см2 не растворялись в хлорбензоле, а для нанесения царапины стальной иглой требовалось заметное увеличение нагрузки.

Исследование плазмостойкосга негативных резистов ЭЛН-200 и ЭЛН-216 проводились на установке плазменного травления С8ПХС-ЮОТ

-14-004 с рабочей частотой 5,28 МГц при рабочих газах аргоне и кислороде. Следует отметить, что с точки зрения производительности процесса электронолитографии, определяемого чувствительностью ре-зиста при данных условиях экспонирования, перспективной является область низких энергий электронов. Однако проведенные эксперименты по исследованию ионной стойкости резиста ЭЛН-24 показали, что при блучении слоя резиста толщиной 0,3 мкм в дуопяазмотроне с энергией ионов 4 кэВ существует корреляция между ионной стойкостью резис.тов и анэргией экспонирующих электронов.

Если энергия электронов лежит в области 20-30 кэВ, то резист

является ионностой-ким и его можно использовать в качестве защитной маски. При понижении энергии электронов стойкость резиста падает и в области 5-, кэВ адгезия резиста к подложке крайне низка и с точки зрения ионной стойкости резиста следует применять более высокоэнвргетичвые пучки электронов.

Для корреляций развитых в первой главе представлений о роли первичных и обратных электронов в уширении зоны обработки были проведены исследования профилей линий однократного сканирования на резиста, причем доза облучения при экспонировании одиночной линии была выбрана равной критической с тем, чтобы время химического травления на полную толщину центральной области линии было мало по сравнении с общим временем проявления. Это дает основание полагать," что полученный профиль линии однократного сканирования может быть идентифицирован с линией, соответствующей удельной критической анэргии. Сравнение экспериментальных профилей линий однократного сканирования и профилей изовнергетических поверхностей, полученных в результате численного расчета по разработанным методикам и программам показало их хорошее совпадение.

Это дает основание полагать, что разработанная программа может быть использована для моделирования процесса размерной обработки материалов в различных технологических ситуациях, в частности при определении технологических норм на параметры разрешающей способности резистов и при разработках методов компенсации эсЫок-тов близости-

к\\

О г 10 15 гО [кА] Рис.3. Диаграмма соответствия режимов экспонирования и травления резиста ЭЛН-24. 0-чувствительность,Г-контраст,7-скорость травления.

Проведенные исследования позволили разработать ряд техноло-гиеских методов повышения производительности и разрешающей способности элвнтронолитографии. Предлагается методика двойного экспонирования (генерация изображения низкоэнергетическими электродами, проявление и экспонирования высокоэнергетичннми электронами для обеспечения ионной стойкости), для уменьшения влияния обратно -отраженных электронов предложен ряд методик по ослаблению их интенсивности. Проверен рекомендованный метод экспонирования дискретно-переменной дозой, но поскольку номограммный метод для практических целей на вполне удобен, мы на основании этой модели и предварительных экспериментов получили эмпирические формулы, позволяйте рассчитывать параметры каждого шага экспонирования. Этот способ позволяет варьировать контрастность защитного рельефного изображения в зависимости от требований технологии и получать резкую или сглаженную линию рельефа.

На основе анализа литографических характеристик и экспериментов по исследованию плазменной и ионной стойкости негативных эл-ектронорезистов мы разработали методику повышения ионной и плазменной стойкости резистов, заключающуюся в том, что после проявления экспонированного резиста его дополнительно облучают пучком ионов с параметрами, рассчитываемыми по полученным нами эмпирическим формулам. Экспериментально было исследовано повышение плазменной стойкости негативных электронорезистов ЭЛН-200 и ЭЛН-216, дополнительное облучение проводилось ионами фосфора на установке ИОЛЛА-З ионами фосфора с энергией 45, 75, 120 кэВ. Плазменная стойкость обработанного таким образом резиста исследовалась в кислородной плазме и установлено ее возрастание более чем в два ра-жза и составила 183 а/мин для резиста ЭЛН-200 и 107 а/мин для резиста ЭЛН-216.

Проведенный в 1 главе анализ процесса тепловыделения при лазерной обработке позволил грубо очертить границы технологических режимов лазерной безрезистной литографии (ЛВЛ), разработанные и описанные во 2-ой главе макеты позволили сузить эти рамки, но для окончательного определения режимов мы исследовали энергетические параметры используемых лазерных зондов и определили оптимальную скорость перемещения образца под лучом, не приводящую к его разрушению. В результате экспериментов удалось определять все параметры оптимального режима лазерной безрезистной литографш, позволяющие проводить обработку с внеянвй стороны прозрачной подложки.

Разработка метода лазерной литографии позволила на экспериментальных макетах получить опытные серии соответствующих прибо-ррв, но исходя из того, что метод лазерной литографии является методом индивидуальной обработки и имеет соответственно невысокую производительность, была разработана методика рентгеновской литографии, позволяющая получать аналогичные рисунки. Был разработан метод нанесения резиста на внутреннюю поверхность полых цилиндрических образцов, при этом были получены математические соотношения, определяющие зависимость скорости нанесения резиста от различных факторов.

Для устранения трудностей, связанных с контролем получаемой топологии разработано устройство, позволяющее производить контроль топологии внутренней металлизированной поверхности образцов и обеспечивать возможность одновременного устранения дефектов металлизации. Для контроля используется катодолюминесцентное излучение, генерируемое в толще образца электронным зондом, а для залечивания обнаруженных дефектов используется управляемое пироли-тическое разложение карбонила вольфрама.

Четвертая глава посвящена исследованию возможности совмещения радиальной симметрии основных микролитографических процессов и плоскостности используемых подложек путем изменения формы подложки за счет придания ей на время всех производимых технологических операций формы части сферы путем метода контролируемой обратимой деформации (МКОД).

Были проанализированы методы получения подложек, вписывающиеся в радиальную симметрию процессов микротвхнологии и собственно Возможность применения метода МКОД для придания подложкам требуемой формы. Результаты проведенных с помощью растрового микроскопа РЭМ- 1 СО измерений показывают, что количество дефектов возрастает с увеличением диаметра подложки и с уменьшением радиуса кривизны.

Положительный эффект принесло совмещение промежуточного и окончательного отжига в одном цикле изгиба подложек, т.к. проведены» дополнительных отжигов дефектов позволяет залетать дефекты, возникшие в ходе частичного изгиба. Изгиб также можно проводить при температуре не ниже температуры термического отжига дефектов, для кремния при 1050° С. Такой двуступенчатай отжиг позволяет полностью скомпенсировать возшкше в процессе МКОД дефекты.

Ко разработанном экспериментальном макете была исследована зависимости неравномерности толщины наносимого слоя резиста ЭЛН-

-17200 (вязкость 3,08 сСт) от степени вогнутости подложки. Полиимид-ныо плвнки диаметром 76 мм фиксировались вакуумным прижимом на сферической поверхности подложкодержателя с заданным радиусом кривизны Исследовались плоские подложки, подложки со стрелой прогиба 5% от радиуса подложки и со стрелой прогиба ЮЖ. Резист наносился центрифугированием. Для плоских подложек получили неоднородность 17%, при стреле прогиба 556 - 10%, а при стреле прогиба 10% - 1,5%, что вполне достаточно для целвй микротехнологии.

Были проведены эксперименты по термическому вакуумному напылению сухого резиста Винил-Та, аналогичные описанным в главе 3, но при напылении на подложку диаметром 75 мм и с радиусом кривизны 300 мм (с расстояния 300 мм). Неравномерность получаемой пленки на превышала величины случайных отклонений (0,5%). Даже после выпрямления подложки до плоской формы измеренная толщина пленки была более равномерной, чем после напыления с расстояния 300 мм на плоскую подложку (равномерность порядка 2,5%}. При измерениях с помощью растровых микроскопов ВЗ-ЗОО и РЭМ-100 не обнаружены разрывы пленки резиста, вызванные изгибом.

Применение МК0Д подложек при рентгеновском экспонировании имеет ряд преимуществ, время экспонирования в такой системе примерно на 2 порядка меньше, чем в обычной системе теневого экспонирования, а устранение дисторсии позволяет подвергать экспонированию сразу большую поверхность с обеспечением одинаковой точности по всей поверхности. При исследовании размеров полученных элементов в микроскопе РЭМ-100 обнаружено, что изменение размеров элементов на сферической подложке составляло порядка 3%, а на плоской подложке от 5 до 10%. Время экспонирования для сферической подложки 2,5 мин, а для плоской - 20 мш. Также установлено, что применение концентрически расположенных сферических подложки и шаблона с радиусами кривиплы, равными расстоянию до источника рентгеновского излучения, позволяет полностью устранить дисторсию из-за радиального сдвига и из-за конечной толщины поглотителя. Мы разработали и экспериментально опробовали устройства для рентгеновского и электронно-лучевого экспонирования, конструкции рент-геношаблонов и источников рентгеновского излучения, позволявших роализовывать МК0Д.

Также исследовалось взаимодействие электронного пучка с ре-застом на неплоских подложках. За основу была взята расчетная модель, основанная на вычислениях по методу Монте-Карло и описанная в первой главе, дополненная методом размножения траекторий, при-

чем размножались также траектории электронов не попавших в подложку, начиная с точки, в которой фиксировали уменьшение начальной энергии электрона больше, чем наполовину. С уменьшением радиуса кривизны подложки наступает повышение плотности выделенной энер-ргии вблизи оси пучка, при одновременном сужении областей, охватываемых изовнвргетическими поверхностями.

На основе анализа результатов расчетов для уменьшения проявления аффектов близости в системе электронно-лучевого экспонирования следует рекомендовать проведение облучения низкоэнергвтич-ным электронным лучом тонкого слоя резиста,'нанесенного на изогнутую до сферической формы поверхность подложки с малым радиусом кривизны. Экспериментальные исследования профилей изображения, получаемые в зависимости от энергии электронов в пучке (10 ш 20 кэВ), при толщине резиста 0,5 или 1,0 мкм, радиусах кривизны сферических и цилиндрических подложек 1500; 1000; 500 или 200 мм, не показали существенного изменения распределения плотностей выделенной энергии по сравнении с изображением на плоской подложке.

Экстремальное отклонение кривизны изображения для случая со сферической подложкой с радиусом кривизны 200 мм, при толщине резиста 0,5 мкм и для энергии первичного пучка электронов 10 каВ, полученное усреднением результатов 40 экспериментов, по сравнению с изображением на плоской подложке нв превышало 2%.

Это свидетельствует о незначительном практическом влиянии формы поверхности подложки в исследуемом диапазоне радиусов кривизны я при используемых наш параметрах системы экспонирования, на распределение концентрации радаационно-химических превращений в резисте, а тем самым на проявление эффектов близости. Эти эффекты будут проявляться более значительно при использовании под разметом слоя с большим атомным номером. Результаты проведенного анализа влияния формы поверхности подложки на распределение плотности выделенной в резисте энергии нашли практическое применение' при проектировании систем электронолитографим для МК0Д.

В заключении сформулированы основные результаты работы:

1 . Одним из перспективных путей повышения разрешающей способности электронолитографш является применение низковольтного режима экспонировашм, при котором длина пробега электронов в резисте совпадает с его толщиной. Для расчета его параметров разработан номогрзммний метод расчета процесса энерговыделения в резисте, псэролдмщиЯ расчетным путем получить контреотно-чувотвитрль-нув характеристику резиста в относительных единицах.

-192. Разработана аналитическая модель, позволяющая рассчитывать процесс анерговыдэлвния в слое резиста и определять профиль поглощенной в слов рвзиста энергии как в зоне пучка, так и в зоне геометрической тени, позволяющая рассчитать изменение размеров зоны обработки экспонирующим пучком в зависимости- от параметров процесса и определять удельную критическую•энергию полимеризации (деструкции) рвзиста. Она адекватна для частного случая топологических структур, для форм с геометрическими размерами порядка полуширины распределения обратно отраженных электронов и менее (I мкм и менее). При больших размерах топологии при коррекции аффекта близости необходимо разбивать отдельные формы на подформн с коррекцией доз на подформы.

3. Установлены закономерности, позволявшие рассчитать формализованный обобщенный спектр отражения электронов от стандартных подложек. Предложена методика определения зоны формирования скрытого изображения и зоны эффекта близости. Установлено, что зона формирования скрытого изображения определяется в основном высокоэнергетичной линией спэктра обратно-отраженных электронов, в то время как зона аффекта близости - характеристиками материала резиста, в основном чувствительностью и контрастностью.

4. Исследована возможность применения для целей электроно-жгографт метода иошо-электронной эмиссии. Установлено, что с точки зрения производительности и разрешающей способности элек-трояолитографии этот метод можэт быть рекомендован для практических цалэй.

5. В процессах лазерной безрезистной литографии при испарении пленки одиночными импульсами глубина области остаточных механических напряжений и возможных дефектов структуры увеличивается вдвое при уменьшении периода импульсов примерно на порядок. Прогрев объема, в котором наиболее вероятно появление дефектов структуры, наступает для импульсов длительности 1,0 мкс и более, при этом граничный период составляет менее 40 - 60 мкс.

6. Разработан и изготовлен макет электронно-лучевого генератора изображения на основе принципа фототелевизионпого ввода информации, позволяющий получать рисунок требуемой топологии с разрешением не хужо 0,3 мкм в пределах рабочего поля 200 * 200 мкм, комплекс для генерации линий однократного сканирования и исследования параметрш влектронорезястсв, лабораторный мзкаг для исследования спектров обратного отразгония электронов от подложэк, подложек (на основе получениях спектров смоделирован обобщений

спектр-гистограмма, позволяющий количественно оценивать влияние обратно-отраженных от подложки электронов на разрешающую способность алектронолитографиа и использующийся для коррекции разработанных аналитической и машинной моделей.).

7. Разработан экспериментальный макет для исследования возможностей метода ионно-злектронной литографии. Показана принципиальная возможность применения атого метода для целей проекционной электронолитографаи. Установлено, что производительность проекционной злектронолитографии при применении зтого метода существенно повышается а число допустимых экспозиций более чем на порядок превышает аналогичное при применении традиционных методов.

8. Обоснован выбор параметров источника рентгеновского излучения, рассчитаны параметры и геометрические характеристики пушки Пирса, используемой в атом качестве, разработан и изготовлен экспериментальный макет с комплектом мишеней для проведения экспериментов по рентгеновскому экспонированию резистов, разработаны и изготовлены рентгеновский и электронный шаблоны.

9. Определены направления по разработке технологии изготовления дефлектронов с использованием методов лазерной литографии, разработаны макеты установок для изготовления дефлектронов.

10. Для негативных алектронорезистов обнаружен аномальный ход контрастно-чувствительных характеристик при низких энергиях экспонирующих электронов, что объясняется особенностью процессов энэрговыделания в резиста при таном режиме. Установлено, что чувствительность негативных резистов имеет ярко выраженный максимум, лежащий в области энергий электронов 5-7 кзВ, однако в этом диапазоне ухудшается ионная стойкость и адгезия резиста к подложке, при экспонировании резистной пленки с анергиями, при которых глубина пробега меньше толщины резиста, присходит полимеризация резиста на всю глубину при резком возрастании дозы экспонирования.

11. Зависимость чувствительности полимерных сухих резистов на основе октавинилсесквиоксанов от анергии, экспонирующих электронов носит линейный характер и имеет малый угол наклона, что является несомненным преимуществом по сравненшв с характеристиками жидкостных резистов.

12. Установлено, что в исследованном диапазоне энергий экспонирующих электронов существуют две области, которые соответствуют повышенному контрасту изображения. Применение низкоэнерге-тичных электронов является более предпочтительным с точки зрения как прояародатольнс.стя процесса, тек и его разрешающей способное-

та.

.13. Разработанные на основе теоретических представлений и проведенных исследований методы повышения производительности и разрешающей способности процесса экспонирования позволяют существенно повысить параметры генерируемого изображения без модернизации используемого оборудования, только за счет разработанных технологических приемов.

14. Проведены эксперименты по экспонировании рентгенорезис-тов в широком диапазоне параметров процесса, исследованы спектры поглощения резистов,произведена коррекция полученных теоретических результатов в соответствии с данными экспериментов. Выполнены расчетные оценки оптимальных условий экспонирования для ряда конкретных систем резист-подложка, исследована зависимость времени экспонирования от выбора длины волны излучения. Установлено, что для рассмотренных; систем оптимальным является интервал длин волн порядка 8 - 14 д. Отмечено малое отличие коэффициентов' поглощения для различных резистов, свидетельствующее об их близкой чувствительности к мягкому рентгеновскому излучению.

15. Определены оптимальные параметры процесса лазерной микролитографии, позволяющие производить обработку с внешней стороны дефшектрона и с помощью разработанных макетов получены партии опытных образцов дефлектронов. Разработаны опытные методики производства двфлектронов методами рентгенолитографии и методы контроля и ретуши получаемых образцов.

16. Экспериментально подтверждена перспективность метода контролируемой обратимой деформации плоских подложек для придания им формы части сферы. Разработана методика, позволяющая понизить плотность возникающих при этом дефектов. Предложены способы и устройства электронно-лучевого и рентгеновского экспонирования, на-ншэсения вязкого резиста на поверхность подложек и способ вакуумного термического напыления, позволяющие реализовать предложенный метод.

17. Экспериментально подтверждена возможность повышения производительности Процесса рентгеновского экспонирования при одновременном улучшении разрешающей способности при иснользоватгд сферических подложек и шаблонов. При этом кроме классически рентгеновских трубок использованы перспективные плазмогпшо источники мягкого рентгеновского излучешм.

Сшсок опубликованных работ по теме диссертации.

1. Марголин В.И. Аналитическое моделирование технологического процесса электронной литографии. СПб ТЭТУ.- 1996.- 288 с. ил. -Библиогр. 295 назв.- Деп. в ВИНИТИ 26.06.96, № 2137-В96.

2. Казбврук Я., Марголин В.И. Процессы рентгеновской литографии в микротехнологии. СПб ТЭТУ.- 1997,- 162 с. ил. 29 - Библиогр. 281 назв.- Деп. в ВИНИТИ 3Q.06.97, И 2134-В97.

3. Дедовской В.П., Марголин В.И., Тирок М.Т., Якимов Т;Н. О физической модели процесса алектронолитографии // Сб. "Методы миниа-тюриз. и автомат, пр-ва компонентов ЭВМ",Киев.-1974.- С. 28-38.

4. Дедовской В.П., Якимов Т.Н., Вашкялис В.П., Марголин В.И. Области применения алектронолитографии и размерной обработки тонких пленок // Сб. "Метода миниатюризации и автоматизации производства компонентов ЭВМ", Киев.- 1974.- С. 39-43.

5. Дедовской В.П., Марголин В.И., Якимов Т.Н., Тирон М.Э.. О роли рассеяния и отражения электронов в процессе алектронолитографии // Электронная литография и ее применение в микроэлектронике. Тез. докл. научн.-техн. конф., серия 3, Микроэлектроника, вып. 1 (53). М.: ЦНИИ Электроника. 1976.- С.- 39-41,

6. Марголин В.И., Якимов Т.Н., Зайдовский Э.М., Тирок М.Э. Опыт применения алектронолитографии для получения микроэлектронных устройств // Сб. Новые плазменные и электронно-ионные методы обработки материалов.- Л.- 1976.- С. 54-56,

7. Дедовской В.П., Марголин В.И., Якимов Т.Н., Тирок М.Э. Определение коэффициента неупругого отражения электронов с анергией 1020 кэВ // Сб. докл. XVI Всес. конф. по эмиссионной электронике, Махачкала.- 1976.- С. 76-77.

8. Ledovsko3 W.P., Margolin W.I., Tierok М., Jaklmo? T.N. Herstellung von Dunnschichtstrukturen durch Elektronenstrahllithog-ralie // Peingeratetechnik.- 1976.- J6 5.- C. 225-226.

9. Дедовской В.П., Марголин В.И., Якимов Т.Н. Влияние режима экспозиции и контрастности резиста па разрешение злектронолитогра-фического метода // Сб. "Физико-технологические вопросы кибернетики", Киев.- 1977.- С. 46-54.

10. Дедовской В.П., Марголин В.И., Тирок М.Э., Якимов Т.Н. Рассеяние и отражение электронов в процессе электронолитографии // Эл-Электронная техника, сер.3,Микроэлектроника.-1977.-вып.5-С.55-60.

11. Марголин В.И., Гуров С.А. 0 некоторых особенностях низковольтной влектронолитогрвфии // Тезисы докладов Всес. симпозиума "Прнмэвдниа эхвктроиной микроскопии в современной технике" М.-

-231978.- С. 130.

12. Марголин В., Гуров С., Лиманова В. Некоторые особенности экспонирования резистов в низковольтном режиме // Сб. Физическая электроника, Каунас.- 1979.- С. 45-46.

13. Марголин В.И. Особенности технологического процесса элвктро-нолитографии в низковольтном режиме // Тез. докл. научи.-техн. конф., серия 3, Микроэлектроника, вып. 3 (112). М.: ЦНИИ Электроника. 1978.- С.- 39-40. •

14. Марголин В.И., Гуров С.А. Об экспериментальной проверке применимости закона Бете-Блоха дня расчета взаимодействия низковольтного электронного луча с органическими резистами // Письма в ад.-1979.- т. 5, вып. е.- С. 331-333.

15. Margolin V.l., Seldowski Е. Untersuchungen zur Nlederspan-Jiungs- EleJitronenstrahlllthografie // Feingeratetechnlk.- 1979.-Jt 0,- C. 351-353.

16. Мврголин В.И., Вольпяс В.А., Гуров С.А. Исследование физических процессов при низковольтной электронолитографии // Твзисы докладов XXV Всес. научн. сессии, поев. Дню радио.- М.: Сов. радио.

- 1980.-С. 51-52.

17. Марголин В.И., Гелевер В.Д., Шабуневич В.В. Исследование возможности применения растрового микроскопа РЭМН-2 для целей элек-тронолитографии // Изв. ЛЭТИ.- 1980.- вып. 279.- С. 37-41.

18. Вайнер А.Я., Гуров С.А., Дшаев K.M., Марголин В.И. Влияние ускорящего напряжения на технологические параметры негативного электронорезиста на основе циклизовэнного полиизопрэнв // Тезисы докл. III Всес. симпозиума РЭМ-81, дек. 1981, г. Звенигород, М.: 1981.- С. 228-229.

19. Григайтис П., Марголин В. Особенности бкепонирования резистов в ионной микролитографии // Сб. Физическая электроника, Каунас.-1983.- С. 117.

20. Марголин В.И., Габсалямов А.Г., Туренко Г.Я., Якименко А.Н. Возможности повышения производительности и разрешающей способности процесса экспонирования электронорезиста // Сб. Применение методов электронной и ионной обработки в промышленности, Л:.- 1985.

- С. 24-27.

.21.■ Григайтис П.П., Марголин В.И. О влиянии энергии экспонирующих электронов на свойства образуемых защитных рельефов // Сб. трудов I Межд. конф. по электронно-лучевым технологиям ЕЛТ-85, София, Болгария.- 1985.- С. 577-5G2.

22. Вольпяс В.А., Марголин В JA. Расчет процесса энарговыделпиия в

слое электронорезиста // Сб. трудов I Мезд. конф. по электроннолучевым технологиям ЕЛТ-85, София, Болгария.- 1985.- С. 53.

23. Марголин В.М., Туренко Г.Я., Казбарук Я. Некоторые методики улучшения характеристик злектронолитографического процесса // Тезисы докл. Всес. конф. "Состояние и перспективы развития микро-влектронной техники", Минск.- 1985.- ч. 2.- С. 25.

24. Доморацкий И.А., Марголин В.И., Суслов Г.П. Принципы создания ГАП фото и рентгеношаблонов для субмикронной технологии // Сб. "Прогрессивные методы конструирования и гибкое автоматизированное производство микроалектронной аппаратуры". М.: МДИГП.-1986.- С. 142-146.

25. Марголин В., Казберук Я., Казберук М., Туренко Г., Якимов Т. Методика повышения производительности и разрешающей способности процесса рентгеновской литографии // Сб. Физическая электроника, Каунас.- 1986.- С. 46-47.

26. Марголин В., Казберук Я., Казберук М., Туренко Г., Якимов Т. Методы получения сферических полупроводниковых пластин с монокристаллическим рабочим слоем // Сб. Физическая электроника, Каунас.- 1986.- С. 47-48.

27. Вольпяс В.А., Григайтис П.П., Кучеренко A.B., Марголин В.И. Моделирование процесса энерговыделения в резисте методом диаграммной техники // Сб. трудов V Мвад. конф. ФЕНТО Микроэлёктроника-86, Пловдив, Болгария.- 1986.- т. 3.- С. 314-321.

28. Марголин В, Казберук Я., Казберук М., Сюда С. Модель расчета плотности выделенной в резисте энергии с размножением траекторий рассеянных в слое резиста электронов // Сб. Физическая электроника, Каунас.- 1987.- С. 151.

29. CaekaJ S., Wawer J., Kazberuk J., Margolin W.I., stawikowski W., Sledzlnskl S. X-Ray litography efficiency and space resolution increase // ГРРШ Report № 1/86.- Warssawa.- 1986.- C.1-9.

30. Берг И.В., Марголин В.И., Габсалямов А.Г. свойства электрово-резистивной маски при воздействии ионнолегирующих пучков в производстве полупроводниковых приборов // Межвузовский сб. Взаимодействие ионных пучков с атомами и поверхностью твердого тела. Л:. 1987.- С. 43.

31. Казберук Я., Марголин В.И. Методика уменьшения влияния эффектов близости в процессе злектронолитографии // Межвузовский сб. "Лучевая технология электронной техники; моделирование и эксперимент". Ы:. 1983.- С. 132-126.

32. Вольпяс В.А., Кучеренко A.B., Марголин В.И. Метод определения

энерговыдел8ЯИЯ в резисте // Тезисы докл. 2-го Всес. семинара "Микролитография", Черноголовка.- 1988.- С. 16.

33. Казберук Я., Марголин В.И., Казберук М. Исследование профилей изображения в резисте на неплоских подложках //Тезисы докл. 2-го Всес. семинара "Микролитографня", Черноголовка.- 1988.- С. 17.

34.Вольпяс В. А., Котельников К.А., Кучеренко A.B., Марголин В.И. Анализ процессов энерговыделения в слое электронорезиста // Сб. трудов 2-ой Межд. конф. по электроннолучевым технологиям ЭЛТ-88, Варна, Болгария.- 1988.- С. 39-44.

35. Григайтис П.П., Марголин В.И., Кучеренко A.B., Котельников К.А. Исследование литографических характеристик современных элек-тронорезистов //Сб. трудов 2-ой Межд. конф. по электроннолучевым технологиям ЭЛТ-88, Варна, Болгария.- 1988.- С. 345-348.

36. Yakimov Т., Margolin V.l. Influence of the secondary emission on the electron beam lithography //Сб. трудов 2-ой Межд. конф. по электроннолучевым технологиям ЭЛТ-88, Варна, Болгария.- ' 1988.-е С. 403-408.

37. Казберук Я., Марголин В.И. Исследование возможности применения изогнутых подложек в микролнтографии // Сб. трудов 2-ой Межд. конф. по электроннолучевым технологиям ЭЛТ-88, Варна, Болгария.-1988.- С. 436-440.

38.Казберук Я., Марголин В.И. Совместимость применения изогнутых подложек с другими процессами пленарной технологии // Сб. трудов 2-ой Межд. конф. по электроннолучевым технологиям ЭЛТ-88, Варна, Болгария.- 1988.- С. 441-446.

39. Казберук Я., Марголин В.И. Методика уменьшения влияния эффектов близости в процессе электронно-лучевого экспонирования // Сб. трудов 3-еЙ польско-советской начно-техничбской конф. "Комплексная автоматизация промышленности", Вроцлав, ПНР.- 1988.- т. 3.-С. 77-82.

40. Кучеренко A.B., Марголин В.И. Методы моделирования процессов электронной литографии // Изв. ЛЭТЙ.- 1988.- вып. 396.- С. 76-80.

41. Гладко С.Л., Кучеренко A.B., Лиманова В.Ф., Марголин В.И. Исследование литографических характеристик и параметров электроно-резистов // Межвузовский сборник "Материалы электронной техники", МИЭМ, М.- 1989.- С. 75-78.

42. Марголин В.И., Кучеренко A.B., Давыдов С.В., Якимов Т.К. Моделирование энерговыделения в процессе злектронолитографга // Тез. докл. 3-го Всес. семинара "Микролитография", Чорноголовко.-1990.- С. 209-210.

-2643. Кучеренко А.В., Марголин В.И., Вольдас В.А. Моделирование энерговыделения в пленка электронорезиста при облучении остросфоку-сированнш пучком электронов // Сб. докл. 3-го Всес. координационного совещания "Электронная литография", Черкассы,- 1988.- С. 20-24.

44. Казберук Я., Марголин В.И. Исследование применимости метода обратимой деформации подложек для пучковых технологий //Сб. докл. 3-го Всес. координационного совещания "Электронная литография", Черкассы.- 1988.- С. 191-198.

45. Yaklmov Т., Mladenov G., Margolin V., Yaklmova R. Thickness control of thin metal films by means of electron beam // Proc. on International conference on electron beam technologies, Varna, Bulgaria.- 1991.- C. 634-638.

46. Давыдов С.Ю., Марголин В.И. Влияние дислокаций на термоэлектронную эмиссию из металлов с субмонослойными покрытиями,// Изв. ВУЗов, сер. Физика.- 1991.- №2.- С. 116.

47. Margolin V.I. Studies of the structural modifications on electron resists // Abstracts of IX Russian symposium on scanning electron microscopy SEM-95.- 1995.- C. 25-26.

48. Марголин В.И. Исследование применимости пучковых технологий для литографии на неплоских подложках // Вакуумная техника и технология.- 1996.- т. 6, J6 1.- С. 23-25.

49. Марголин В.И. О применимости газового разряда для целей проекционной электронной литографии // Изв. ГЭГУ, вып. 493.- 1996.-С. 39-43.

50. Вольняс В.А., Марголин В.И., Тупик В.А., Петров П.К. Исследование коэффициентов поглощения рентгенорезистов // Тезисы докладов X Всероссийского симпозиума РЭМ-97, Черноголовка.-1997- С. 129

51. Марголин В.И., Тупик В.А., Петров П.К. Исследование эффекта близости и методик его компенсации // Тезисы докладов X Всероссийского симпозиума РЭМ-97, Черноголовка.- 1997.- С. 137

52. Margolin V.I., Toupik V.A. Study of ion and plasma etching o. the resists for microlithography // Abstracts of X Internationa school on vacuum, electron and ion technologies VEIT"97, Varna Bulgaria.- 1997- C. 78

53. Марголин В.И., Тупик В.А. Исследование литографических харак торйстик электроно и рентгенорезистов // Известия вузов России Радиоэлектроника.- 1998.- вып.1.- С. 82 - 85.

-27-

Авторские свидетельства СССР

1. А.с. 938339 СССР. МКИ5 Н 01 L 21/312. Способ злектронолитографии / В.й.Марголин (СССР).- Я 2967466/18-21. Заявл. 31.07.80; Опубл. 23.06.82. Б.И. J6 23 1 с.

2. А.с. 938705 СССР. МКИ3 Я 01 L 21/312. Способ получения защитного рельефного изображения / И.В.Берг, А.Г.Габсалямов, С.А.Гуров, В.Й.Марголин (ССОР).- X 3229847.- Заявл. 31.12.80; Зареги-стрир. 23.02.82.

3. А.с. 1072675 СССР. МКИ3 Н 01 Ь 21/312. Способ электронной литографии / А.Г.Габсалямов, В.И.Марголин, И.В.Берг (СССР).- X 3467405.- Заявл. 06.07.82; Зарегистрир. 08.10.83.

4. А.с. 1093110 СССР. МКИ3 Н 01 Ъ 21/302. Способ получения защитного рельефного изображения / А.Г.Габсалямов, В.И.Марголин, Г.Я. Туренко, И.В.Берг, С.А.Гуров (СССР).- X 3384709.- Заявл. 26.11. 81; Зарегистрир. 15.01.81.

5. А.с. 1272922 СССР. МКИ3 Я 01 L 21/302. Способ электронной литографии / В.И.Марголин, А.Г.Габсалямов, З.Б.Швйдин, Г.Я.Туренко (СССР).- X 3840829.- Заявл. 08.01.85; Зарегистрир. 22.07.86.

6. А.с. 1301240 СССР. МЮТ3 Н 01 L 21/312, G 03 Р 7/26. Способ рентгеновской литографии на гибких подложках / Я.Казберук, В.И. Марголин, Г.Я.Туренко (СССР).- X 3866545.-'Заявл. 21.01.85; Зарегистрир. 01.12.86.

7. А.с. 1324475 СССР. МКИ5 G 03 У 7/26, С 03 F 1/00. Способ электронной литографии / В.И.Марголин, Г.Я.Туренко, Г.А.Габсатгям^в. Я.Казберук (СССР).- JS 3866544.- Заявл. 21.01.85: Зпрогастряр. 15. 03.87.

8. А.с. 133^040 СССР. МКИ3 II 01 J 35/10. ВращащиЯся анод для генерации мягког рентгеновского излучения / Я.Казберук (ПНР), G.A. Иванов, В.И.Марголин (СССР), М.Казберук (ПНР).- X 3923359.- Заявл. 17.05.85; Зарегистрир. 01.05.87.

9. А.с. 1394972 СССР. ЮТ3 G 03 Ри7/26, II 01 Ь 21/312. Способ микролитографии / В.И.Марголин. Г.Я.Туренко, А.Г.Габсплямоп. А.В. Кучеренко, Е.И.Ададу{го (СССР).- » 4079053.- Зэявл. 23.06.80; Зарегистрир. 08.01.88.

10. Л.с. 1397232 СССР. МКК3 В 25 J 18/06 // В 25 J 1/ОП. Манипулятор / А.Г.Габсалямов, З.В.Шзйднн, В. К. Марголин, О.М.Савчук (СССР)-- Л 4143671.- Заявл. 06-11.86; Зарегистрир. Ж.О! .86.

Ц. А.с. 1443694 СССР. МКИ5 Н 01 L 21/312. Способ наносвштя слоя Фоторезисте на внутреннюю поверхность полого цилиндра / Г.Я. Туренко. В.и.Марголин (СССР).- № 4144261.- Заявл. 10.11.S6:

Зарегистрир. 08.08.88.

12. А.с. 1493006 СССР. МКИ3 Н 01 Д 9/42. Способ контроля топологии внутренней металлизированной поверхности дефлектрона / Г.Я. Туреяко, В.И.Марголин, Т.В.Лебедева (СССР).- Я 4350645.- Заявл. 09.11.87; Зарегистрир. 08.03.89.

13. А.с. 1589905 СССР. МКИ3 Н 01 5 31/00. Способ создания рисунка на внутренней поверхности изделия цилиндрической формы / В.И.Марголин, Г.Я.Туренко, Н.А.Потсар, А.А.Тупик, Т.В.Лебедева (СССР).-Я 4446099.- Заявл. 22.04.88; Зарегистрир. 01.05. 90.

14. А.с. 1646437 СССР. МКИ3 Н 01 J 9/236. Способ формирования электродов электростатической отклоняющей системы / Г.Я.Туренко, Р.М.Степанов, А.А.Тупик, Д.К.Лидер, В.И.Марголин, В.В.Рыбалко (СССР).- Я 4663483.- Заявл. 20.03.89; Зарегистрир. 03.01.91.

Авторские свидетельства ПНР

1. А.с. 141665 ПНР. МКИ3 С 23 С 14/24. Способ вакуумного, термического напыления / Я.Казберук, В.И.Марголин, Г.Я.Туренко, М.Казберук.- Я Р-256004.- Заявл. 30.10.85, опубл. 20.05.86, Баш.й 10/86.

2. А.с. 145407 ПНР. МКИ3 Н 01 Ь 21/312, й 03 в 5/00. Способ электронной литографии / В.И.Марголин, Г.Я.Туренко, А.Г.Габсалямов, Я.Казберук.- Я Р- 251831.- Заявл. 04.02.85, опубл. 13.08.85, Бал. Я 17/85.

3. А.с. 145635 ПНР. МКИ3 Н 01 Ь 21/312, й 03 в 5/00. Способ рентгеновской литографии / Я.Казберук, В.И.Марголин, Г.Я.Туренко.- Я р-251649.- Заявл. 23.01.85, опубл. 13.08.85, Бюл. Я 17/85.

4. А.с. 146155 ПНР. МКИ3 Н 01 J 35/10. Вращащийся анод для генерации мягкого рентгеновского излучения / Я.Казбурук, С.А.Иванов,

B.И.Марголин, М.Казбурук.- Я Р-253179.- Заявл. 02.05.85, опубл. 22.10.85, Бил. Я 22/85.

5. А.с. 146156 ПНР. МКИ3 Н 01 X 21/26, С 03 В 42/02. Устройство для экспонирования мягким рентгеновским излучением / Я.Казберук,

C.А.Иванов, В.И.Марголин, М.Казберук.- Я Р-253180.- Заявл. 02.05.85, опубл. 22.10.85, Бил. £ 22/85.

Заявки ПНР

1. Заявка Р-251743 ПНР, МКИ Н 01 I. Устройство олектронно-лучево-го экспонирования / Я.Казберук, В.И.Марголин, М.Казберук. Заявл. 29.01.85, опубл. 17.12.85, Бил. Я 26/85.

2. Заявка Р-251744 ПНР, МКИ Н 01 Ь. Устройство злектронио-лучево-то экспонирования / Я.Казберук, В.И.Марголин, Г.Я.Туренко. Заявл. 29.01.85. опубл. 17.12.85, Баш. й 26/85.

3. Заявка Р--254945 ПНР, МКИ Н 01 ь. Способ м&ханич&ского изгиба

подложок инт9грелъных микросхем. / Я.Казберук, В.И.Марголин, М.Казберук, Г.Я. Туренко. Заявл. 12.08.85, опубл. 05.05.86, Бвш. А 9/86.

4. Заявка Р-256000 ПНР, МКИ В 41 М . Устройство для нанесения вязкого фоторезиста на поверхность подложки / Я.Казберук, В.И.Марголин, М.Казберук, Г.Я.Туренко. Заявл. 30.10.85, опубл. 20.05.86, Бшг. й 10/86.

5. Заявка Р-256001 ПНР, МКИ В 41 М. Устройство для нанесения вязкого фоторезиста на поверхность подложек / Я.Казберук, В.И.Марголин, М.Казберук. Заявл. 30.10.85, опубл. 20.05.86, Бнш. Я 10/86.

6. Заявка Р-256002 ПНР, МКИ Н 01 Ь, С 21 К, ? 03 С. Устройство для экспонирования мягким рентгеновским излучением / Я.Казберук, В.И.Марголин, Г.Я.Туренко, М.Казберук. Заявл. 30.10.85, опубл. аз.06.86, Бюл. .№ 11/86.

7. Заявка Р-256003 ПНР, МКИ Н 01 в, Р 21 К, б 03 С. Способ изготовления рентгеношаблона / Я.Казберук, В.И.Марголин, М.Казберук. Заявл. 30.10.85, опубл. 03.06.86, Бил. $ 11/36.

8. Заявка Р-257802 ПНР, МКИ Н 01 Ь. Способ изгиба полупроводниковых подложек интегральных микросхем с мэнокристаллическим слоем / Я.Казберук, В.И.Марголин, Я.Вэвер, В.А. Ставиковски. Заявл. 05.02.86, опубл. 26.08.86, Бил. % 17/86. •

9. Заявка Р-260611 ПНР, МКИ Н 01 Ь. Устройство для экспонирования мягким рентгеновским излучением / Я.Казберук, В.И.Марголин, М.Казберук. Заявл. 14.07.86, опубл. 27.06.87, Бюл. Л 15/87.

10. Заявка Р-260612 ПНР, МКИ Н 01 Ь. Способ рентгеновской литографии / Я.Казберук, В.И.Марголин, М.Казберук. Заявл. 14.07.86, опубл. 27.06.87, Бия. Л 15/87.