автореферат диссертации по электронике, 05.27.01, диссертация на тему:Моделирование формирования глубоких канавок в кремнии в Bosch-процессе

кандидата физико-математических наук
Шумилов, Андрей Станиславович
город
Москва
год
2009
специальность ВАК РФ
05.27.01
Диссертация по электронике на тему «Моделирование формирования глубоких канавок в кремнии в Bosch-процессе»

Автореферат диссертации по теме "Моделирование формирования глубоких канавок в кремнии в Bosch-процессе"

На правах рукописи

----

Ои-э

ШУМИЛОВ АНДРЕИ СТАНИСЛАВОВИЧ

МОДЕЛИРОВАНИЕ ФОРМИРОВАНИЯ ГЛУБОКИХ КАНАВОК В КРЕМНИИ В ВОвСН-ПРОЦЕССЕ

Специальность

05.27.01. - твердотельная электроника, радиоэлектронные компоненты, микро- и наноэлектроника, приборы на квантовых эффектах

АВТОРЕФЕРАТ

- 3 ДЕК 2009

диссертации на соискание ученой степени кандидата физико-математических наук

Москва - 2009

003486024

Работа выполнена в Ярославском филиале Учреждения Российской академии наук Физико-технологический институт (ФТИАН)

Научный руководитель: кандидат физико-математических наук

Амиров Ильдар Искандерович

Официальные оппоненты: доктор физико-математических наук,

профессор

Мельников Александр Александрович

кандидат физико-математических наук Кудря Владимир Петрович

Ведущая организация: Государственный научный центр РФ ГУ

НПК «Технологический центр» МИЭТ

Защита диссертации состоится " 17 " декабря 2009 г. в 14.00 на заседании диссертационного совета Д.002.204.01 при Учреждении Российской академии наук Физико-технологический институт по адресу: 117218, Москва, Нахимовский проспект, 36/1

С диссертацией можно ознакомиться в библиотеке ФТИАН.

Автореферат разослан " " ноября 2009 г.

Ученый секретарь диссертационного совета .

кандидат физико-математических наук В.В.Вьюрков

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы

Создание кремниевых высокоаспектных микро-наноструктур является актуальной задачей в технологии наноэлектроники и микро-наносистемой техники. В технологии микроэлектромеханических систем их формирование осуществляется в специально разработанном циклическом, двухстадийном травление/пассивация процессе в плазме БР^С,^ или БИб/Ог ВЧ индукционного разряда (ВовсЬ-процесс). Моделирование такого процесса является необходимым методом исследования, так как позволяет проверить правильность понимания механизмов их формирования и несёт в себе предсказательную способность. Кроме того, характеристики процесса зависят от многих параметров, и поэтому численное моделирование может заменить дорогостоящие эксперименты при исследовании влияния различных параметров на формирование микро-наноструктур структур.

В циклическом процессе чередование стадий травления и противоположной ей стадии осаждения (пассивации) может привести к появлению различных эффектов структурирования поверхности. Симуляция часто чередующихся разных стадий процесса требует от модели более высокой вычислительной точности. Накопление малых возмущений на отдельных стадиях в циклических процессах является причиной самоформирования нано- и микроструктур. Моделирование многостадийных циклических процессов гораздо сложнее одностадийного моделирования, именно поэтому представленных работ по многостадийному моделированию не так много. В настоящее время ввиду сложности описания плазмохимических процессов травления и осаждения разработаны только упрощенные модели их формирования. Поэтому создание метода моделирования плазменных процессов формирования микроструктур в двухстадийном, циклическом процессе является актульной задачей микро-и наноструктурирования поверхности.

Цель работы

Целью работы являлась разработка 2й метода моделирования формирования глубоких, высокоаспектных канавок в в циклическом двухстадийном травление/пассивация процессе в плазме БРб/С^ (ВовсЬ-процесс).

Для решения поставленной цели необходимо было решить ряд задач, которые можно разделить на следующие части:

1. Изучить существующие методы моделирования процессов плазменного травления и разработать новый метод моделирования глубокого анизотропного травления в ВовсЬ-процессе;

2. Разработать математические алгоритмы метода: алгоритмы расчёта потоков частиц плазмы, траектории частиц, алгоритмы взаимодействия частицы с поверхностью, алгоритмы изменения геометрии профиля, расчёта нормали поверхности;

3. Осуществить программную реализацию математических алгоритмов метода. Создать комплекс программ для расчётов формирования профиля микроструктур в одностадийных и двухстадийных циклических процессах травления и осаждения во фторсодержащей плазме, обеспечение приемлемой скорости расчётов. Провести верификацию и калибровку метода;

4. Провести исследование формирования глубоких канавок в в зависимости от внешних и внутренних параметров модели. Определить чувствительность модели к наиболее важным параметрам. Провести моделирование различных эффектов формирования микроканавок и провести сравнение полученных результатов с экспериментальными данными.

Научная новизна

Научная новизна результатов, полученных в диссертационной работе, заключается в следующем:

• Разработан новый метод 21/2-мерного моделирования циклического травление/пассивация процесса формирования глубоких канавок в 81. Метод основан на методе ячеек для эволюции профиля поверхности и методе Монте-Карло генерации потоков плазмы.

• Метод моделирования реализован в созданном интерактивном программном комплексе EDPS (Etch-deposition profile simulator). Комплекс предоставляет широкие возможности для проведения численных экспериментов моделирования.

• В методе реализована модель травления Si в плазме SF6 и ионно-стимулированного осаждения фторуглеродной полимерной пленки (ФУП) в плазме C4F8 и ее травления в плазме SF6. На основе экспериментальных данных проведена верификация и определены основные параметры моделирования.

• С помощью разработанного метода впервые было осуществлено моделирование формирования глубоких высокоаспектных (А>10) канавок субмикронных размеров в Si в Bosch-процессе в плазме SFg/C^. Сравнение результатов моделирования с экспериментальными данными подтверждает правильность модели. Показано, что в условиях аспектнозависимого (A3) травления глубоких канавок в Si скорость процесса определяется доставкой атомов фтора на дно глубоких канавок.

• Моделирование подтверждает существование широкого ряда эффектов искажения профиля канавок при травлении Si в Bosch-процессе в плазме SF6/C4F8. Показано, что, изменяя управляющие параметры в течение процесса можно варьировать форму субмикронных канавок. Определены условия формирования канавок с разным углом наклона боковых стенок, возникновения апертурного эффекта, достижения аспектнонезависимого (АНЗ) травления.

• Впервые при моделировании формирования глубоких канавок в Si впервые было обнаружено, что в условиях сильной пассивации на дне широких канавок возможно образование наноигл. Эффект возникновения Si наноигл обусловлен неоднородностью осаждения ФУП, вследствие чего при ее травлении возникает ФУП наномаска и наноиглы.

Положения, выносимые на защиту

• Метод 2ш-мерного, основанного на клеточно-струнных алгоритмах представления и динамики профиля поверхности,

методе Монте-Карло для генерации потоков плазмы, моделей травления в плазме ББб и ионно-стимулированного осаждения ФУП в плазме С^ и ее травления в плазме

• Результаты моделирования апертурного эффекта формирования глубоких высокоаспектных (А>10) канавок субмикронных размеров в Б! в ВовсЬ-процессе в плазме ЗРб/С^. Показано, что в условиях АЗ-травления глубоких канавок в скорость процесса определяется доставкой атомов фтора на дно глубоких канавок.

• Результаты моделирования эффектов искажения профиля канавок при травлении Б! в ВоБсИ-процессе в плазме БР^С^. Показано, что, изменяя управляющие параметры в течение процесса, можно варьировать форму субмикронных канавок. Условия формирования канавок с разным углом наклона боковых стенок, возникновения апертурного эффекта, достижения АНЗ-травления.

• Результаты моделировании эффекта возникновения наноигл на поверхности 81. Эффект возникает в условиях сильной пассивации, когда на дне широких канавок ввиду неоднородности осаждения и травления ФУП возникает ФУП наномаска и и затем наноиглы.

Практическая ценность

Созданный программный комплекс для моделирования плазменных процессов травления и осаждения, двухстадийных, циклических процессов формирования высокоаспектных субмикронных канавок имеет большое практическое значение. Проведенные с его помощью численные эксперименты позволяют заменить дорогостоящие на практике эксперименты. Полученные результаты могут быть использованы для разработки новых плазменных процессов микротехнологии.

Апробация работы

Материалы диссертации докладывались на следующих конференциях:

- XVI Международная конференция «Взаимодействие ионов с поверхностью». Москва, 2003.

- International Conference "Micro- and nanoelectronics - ICMNE" 2003,2005,2007. Moscow, Zvenigorod, Russia.

- V Международного Симпозиума по плазмохимии. Иваново. 2008.

- На семинаре «Получение, исследование и применение низкотемпературной плазмы им. Л.С.Полака». Москва, январь 2008.

Вопросы авторства и публикаций

Результаты описанных в диссертации исследований автора были опубликованы в 2003-2008 годах. Список публикаций приведен в конце реферата. Большинство из работ было выполнено автором в соавторстве с научным руководителем.

Структура и объём диссертации

Диссертация состоит из введения, 5 глав, заключения, списка цитируемых книжных источников, журнальных статей, списка книг по компьютерной графике и списка информационных ресурсов интернет.

Общий объем текста составляет 178 страниц, включая список рисунков и список цитированной литературы. Диссертация содержит 68 рисунков и _5_ таблиц. Библиография содержит 149 литературных ссылок, включая публикации автора по теме диссертации и 16 ссылок на электронные ресурсы.

ОСНОВНОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность и практическая значимость темы диссертационной работы.

В первой главе приводится обзор работ по моделированию плазменных процессов травления и осаждения.

На основе литературных данных обсуждаются достоинства, недостатки и пределы применимости для существующих на момент начала работы методов и систем моделирования. При моделировании необходимо рассматривать все процессы взаимодействия химически-активной плазмы с поверхностью. Эти процессы влияют на изменение профиля канавки травления. Универсальность и приближенность модели к реальности зависит от количества закладываемых в неё процессов. Модель плазмохимического травления микроструктур можно представить следующей схемой: модель транспорта частиц плазмы, модель поверхностных взаимодействий, метод представления и алгоритмы динамики профиля (рис. 1). Моделирование гетерогенной стадии процесса формирования микроструктур в плазме осуществляется в основном тремя методами: струнный, клеточный, а также метод характеристик, развитием которого является метод уровней «level set». Методы расчёта потоков частиц плазмы представлены Монте-Карло-итерациями или аналитическими интегральными уравнениями. На основе анализа моделей формирования профиля канавок в плазменных процессах травления был сделан вывод, что по отдельности, клеточный или струнный методы развития профиля не могут обеспечить необходимую точность расчетов. Необходим новый метод, сочетающий преимущества обоих. Поэтому было решено использовать комбинирование метода Монте-Карло для представления плазмы и сочетание клеточного и струнного алгоритма развития профиля.

В конце главы приведена постановка задачи и определены основные цели диссертационной работы.

Модель транспорта частиц плазмы |

Представление поверхности образца Обобщённая

......................................................................................................................физико-

Механизмы взаимодействия химическая

плазма-поверхность и поверхность- модель поверхность

Алгоритмы изменения профиля

Рис. 1. Структура модели процессов плазменного травления и осаждения

Вторая глава диссертации посвящена изложению предлагаемого метода моделирования. Указываются достоинства выбранного подхода моделирования. Клеточное представление профиля канавки травления даёт возможность работать с несколькими материалами, рассчитывать поверхностные химические реакции и предсказывать образование пустот. Сочетание метода Монте-Карло для расчёта потока частиц плазмы с клеточным представлением профиля наглядно в реализации и позволяет моделировать цикличные процессы травления сложных микроструктур.

Вначале главы даётся подробное описание структурных компонентов и приводится блок-схема основного алгоритма метода. Для уменьшения ошибки расчёта точки пересечения частицы плазмы с поверхностью и нормали к ячейкам поверхности, приведено обоснование выбора аппроксимации построение струны на клеточной поверхности. Описывается схема генерации потоков частиц плазмы методом Монте-Карло. Линия частиц плазмы, с которой происходит генерация случайного потока частиц, находится на уровне границы поверхности профиля. Длина свободного пробега частиц плазмы была много больше размеров профиля. Ионы падали на поверхность с линейной границы области пространственного заряда.

Рис. 2. Траектория падения частицы плазмы на поверхность профиля, построение аппроксимации и определение точки и клетки пересечения.

Предлагаемая модель учитывает три сорта частиц: 1) травящие радикалы - атомы фтора, 2) осаждающиеся частицы - СБХ радикалы и ионы БР5+ (плазма 5Р6) и СРХ+ (плазма С4рв)- Вначале алгоритма находится точка и направление траектории падения частицы плазмы (рис. 2). Далее вычисляется точка её пересечения с границей поверхности травления. Зная точку и угол попадания частицы, на основе заложенной модели проводится расчет частиц в клетке. Данная частица может адсорбироваться, отразиться или выбить из поверхностного слоя другую частицу.

Подробно исследована и описана проблема аппроксимации клеточной поверхности для нахождения точек пересечения и вылета отражённых частиц, приведены и разобраны разные способы её построения (поверхностной струны) (рис. 2) Найдены оптимальные алгоритмы. Предложено строить две аппроксимации - для падающих частиц и для отражённых частиц, таким образом, точки пересечения при падении на поверхность и начальная точка вылета отражённой частицы с поверхности могут различаться.

Далее приводится способ представления домена моделирования. В клеточном методе моделирования гетерогенных

Плоскость частиц плазмы

Клетки полимерной плёнки

Клетки реакционного слоя

границ;: вылежа частиц гйаз^ы

ые силки

газов

Рис. 3. Клеточное 21/2-мерное представление профиля канавки травления.

процессов в плазме поверхность раздела разбивается на ячейки, клетки (рис. 3). На рисунке показаны газовые и поверхностные ячейки, клетки маски, плёнки и кремния. В начальном состоянии есть 3 вида клеток - газовые (пустые), клетки исходного материала (81, СБХ) и клетки материала маски (Ме) (они неподвержены травлению и далее не рассматриваются в цепи реакций). В 81 клетке содержится 30 атомов 81. При падении на поверхность реакционных радикалов СБ2 и атомов Б, в зависимости от их вероятности прилипания, они остаются в них или отражаются по косинусному закону распределения. В случае прилипания образуются реакционные клетки, содержащие атомы 81, Б, С или только 81 и Б. Они составляют поверхностный реакционный слой. Реакционные клетки могут превращаться также в полимерные клетки, содержащие атомы Б и С. Выбранное количество атомов и размер клетки соответствуют толщине реакционного слоя, приблизительно равного 2.5 нм.

При попадании активной частицы в клетку в ней рассчитывались химические реакции, в результате которых мог измениться её атомный состав. Считалось, что летучие продукты химических реакций не принимали дальнейшего участия в расчётах и беспрепятственно удалялись из пределов домена. Для контроля атомной плотности в поверхностных клетках профиля требовался

пересчёт атомного баланса внутри клеток и их соседей. При переполнении клетки (количество атомов > Nmax) клетка делилась и рядом с ней возникала новая клетка. Содержимое переполнившейся клетки делилось между ней и новой клеткой по определённым законам. Так осуществлялся процесс осаждения. При истощении клетки (количество атомов < Nmj„) клетка удалялась, а её содержимое по определённым законам распределялось в соседние с нею клетки. Так осуществлялся процесс травления. Алгоритмы расчёта атомного баланса в клетках являются очень важными и непосредственно отвечают за правильность динамики профиля канавки травления. Этой проблеме выделен отдельный параграф в данной главе, где также приводятся подробные блок-схемы этих алгоритмов. Проведено численное исследование формирования профилей травления при разных алгоритмах расчёта баланса при травлении и осаждении материалов. Исследовано изменение параметра значения средней атомной плотности в клетках (Nmid) и пороговых значений для удаления и добавления новых клеток (N min и N шах). Найдено оптимальное значение для порога удаления клетки в 15 атомов и для порога добавления клетки в 60 атомов.

Приводятся различные математические алгоритмы метода, например, схема систем координат для представления и работы с данными профиля, алгоритм генерации потоков частиц плазмы методом Монте-Карло с определённым процентным соотношением частиц разного типа, алгоритмы аппроксимации клеточной поверхности для нахождения точки пересечения и отражения частиц с поверхностью. Для ускорения расчетов были проведены тесты моделирования при увеличении количества генерируемых частиц за один такт (до 2 и 3 атомов фтора при травлении Si).

Исследованы алгоритмы для расчёта точки пересечения траектории частицы с поверхностью. Ввиду того, что со временем профиль поверхности травления становится сильно изрезанным, обычный линейный алгоритм нахождения пересечения луча падения с ломаной линией профиля оказался малоэффективным. Было предложено несколько альтернативных алгоритмов расчёта точки пересечения и в итоге реализован алгоритм на основе метода Брезенхема.

Отдельный параграф посвящен исследованию механизма отражения частиц от поверхности моделирования. Приводятся и исследуются разные алгоритмы для расчёта нормали поверхности,

2) Стадия травления в НГ^

Пример глубокого травления канавки.

угла падения и направления вылета отражённой частицы. Следует отметить, что быстро и точно посчитать нормаль к клеточной поверхности достаточно тяжело, и, на наш взгляд, не существует идеального алгоритма для её расчёта. Чем меньше радиус окрестности для определения нормали поверхности, тем больше ошибки на плавных изменениях рельефа поверхности канавки, но меньше ошибки на резких изменениях рельефа. При моделировании использовалось значение радиуса для измерения нормали поверхности в 6 клеток.

Таким образом, во второй главе детально рассмотрены и представлены основные задачи и алгоритмы предлагаемого метода.

В третьей главе приведены описания установки и условий проведения глубокого анизотропного процесса травления в двухстадийном, циклическом режиме (Во8сЬ-процессе) в реакторе плотной плазмы 8Р6/С4Р8 ВЧ-индукционного разряда. Рассмотрены основные факторы, определяющие характер процессов на каждой из стадий: стадии осаждения (пассивации) ФУП в плазме С4Р8 и стадии травления ФУП и 81 в плазме 8Р6 (Рис. 4).

Представлены основные параметры ВовсИ-процесса: 1) длительность стадий; 2) плотность потока ионов; 3) плотность потока радикалов; 4) напряжение смещения. Последние три

параметра определялись внешними параметрами разряда, которые были следующими: стадия травления: (ДОБв) = 100 нсм3/мин., СКСЛ) = 0-10 нсм3/мин.,-исм = 5-70 В, Р = 4.5 Па, = 700 Вт. Стадия пассивации: СХСД7») = 40 нсм3/мин., (2(8Р6) = 5 нсм3/мин., -исм = 5-20 В, Р = 1.2 Па, = 700 Вт. При таких параметрах разряда плотность потока атомов фтора на подложку на стадии травления равнялась 1-5х1017/см с, плотность ионного потока - 0.2-1х1015/см2с. На стадии пассивации плотность потока I (СРг) радикалов составляла 1х1018 /см2 с, а ионов 12+' 1х1015/см2с.

Приведены модели травления и ионно-стимулированного травления ФУП в плазме БР6, а также ионно-стимулированного осаждения ФУП в плазме С4?8. Для описания травления 81 была использована простая модель. При попадании частиц фтора в клетку рассчитывались цепочки химических реакций травления 81. Полагали, что летучие продукты 31Р4 образуются в реакциях 81 - Р с некоторой вероятностью реакции:

РяккГ .

5/ + 4^ _ 5/7^, Т

Вероятность реакции Р5ир была переменным параметром при моделировании. Она изменялась в диапазоне 0.1-0.8.

В отличие от травления травление ФУП было ионно-стимулированным. Оно описывалось более сложной схемой. В случае попадания иона в клетку вероятность реакции атомов фтора с элементом (СР2) увеличивалась пропорционально энергии и углу падения иона.

(С^2)„+ ! СГ4Т (СР2)п+2Р С^Т

Скорость травления ФУП в плазме 8Р6 изменяется обратноквадратично напряжению смещения. При энергии ионов 80 эВ скорость составляет примерно 1,2 мкм/мин., а при энергии плавающего потенциала 20 эВ - 0,2 мкм/мин. Можно отметить, что ФУП практически не травится радикалами фтора без участия ионов. Ионно-стимулированное травление ФУП моделировали путем увеличения вероятности реакции атомов фтора при их падении на возбужденную клетку, состоящую из структурных

единиц - радикалов СР2. Клетка считалась возбужденной, если в нее упал ион с энергией больше пороговой энергии ЕЛ. Вероятность реакции с возбуждённой клеткой последующих N атомов сильно возрастала до тех пор, пока выход молекул С?4 не достигал значения У. После реакции с N атомами фтора клетка считалась уже невозбужденной, и вероятность реакции с ней фтора уменьшалась до начального значения 0.005-0.002. Функция У зависела от энергии и угла падения иона и обозначала количество выхода молекул С?4 на один ион: ¥{Епф)=/(Е;)8(ф)

/(£,.) = ш1п(0, К^ф, -

g(ф) = 0.9*(1.1 -0.31 *|<р|+1.61 *ф2-2.1 З*|ф3|+0.6* ф4)

При отражении от поверхности ион теряет часть своей энергии, которая переходит к поверхности. Чем выше энергия иона и чем ближе угол падения иона к 90°, тем больше скорость травления ФУП. Функцию угловой зависимости. §(ф) определяли согласно литературным данным. Вклад энергии иона в процесс задавали коэффициентом ионно-стимулированного травления К^а8 и значением пороговой энергии Ел. Хорошее совпадение с экспериментальными данными получали при значениях К^ = 0.92.0, и Ел = 5 - 10эВ.

Удаление ФУП происходило также в результате ионно-химического процесса, который заключался в том, что ионы ЗР5+ (основной ион в плазме 5Рб) сами являлись поставщиками реагентов - атомов фтора. При столкновении ионов с ФУП на дне канавки происходила их диссоциация с образованием «горячих» атомов фтора, которые реагировали с полимерными цепочками

В модели были использованы следующие параметры: Е; -энергия ионов, ИЛ - соотношение радикалов и ионов, а, - угловое распределение ионов, РСрг-р - вероятность реакции атомов фтора с ФУП, с[сЬ - коэффициент анизотропного травления ФУП, параметры зеркального отражения ионов (функция g(ф)). Угловое распределение ионов описывалось гауссовым распределением £(а)

со средним угловым отклонением, определяемым выражением:

1 4 ,

где к - константа Больцмана, Т; - температура ионов, Е, - энергия ионов (в эВ). Тепловая энергия ионов равнялась 0.2-0.5 эВ, при кТ; = 0.2 эВ и й =90 эВ О; =2.7°.

Модель ионно-стимулированного осаждения ФУП была представлена следующей схемой реакций:

СР^аа ~> СРгсе!1

СТ^ хш —> С/^ггй -I-

К,-„(/(£)-/(£»))

CF2gas —> СР2сеИ 4-

Для упрощения модели основными частицами, участвующими в реакциях осаждения считали радикалы СР2. Параметрами моделирования осаждения ФУП были: Е1 - энергия ионов, ИЛ -отношение радикалов и ионов, 0| - угловое распределение ионов, Рвиск_ср2 - вероятность прилипания радикалов СР2 к поверхности Рв&к.схру-р - вероятность прилипания радикалов СРу к поверхности ФУП, К^йер - коэффициент ионно-стимулированного осаждения ФУП, а также параметры зеркального отражения ионов (функция зависимости от угла падения и энергии иона). Их величины выбирались из анализа литературных данных и при сравнении результатов моделирования с экспериментальными данными травления простых тестовых структур и зависимости скорости осаждения от энергии ионов.

Правильность модели проверяли на простых тестовых экспериментах, например, расчет профиля канавки, получаемого при изотропном травлении кремния через узкую щель при разной вероятности реакции атомов фтора с 81. В согласии с экспериментом моделирование показало, что при вероятности реакции, равной 1, профиль канавки имел вид окружности, а при 0.1 - полуокружности. Моделирование проводилось с учетом диффузного (косинусного) отражения частиц от поверхности.

Выбор параметров моделирования двухстадийного ВовсЬ-процесса осуществлялся путем их варьирования для достижения полного совпадения профилей канавки с профилем канавки, полученной в эксперименте. Параметры модели подбирались таким образом, чтобы длительности стадий травления и пассивации соответствовали условиям эксперимента.

Рис. 5. Профили канавок, получившихся в результате моделирования (слева) и эксперимента (справа).

Длительность цикла составляла 10 с (6 с травление, 4 с пассивация), число циклов -14.

При моделировании осуществляли варьирование вероятности реакции прилипания СР2 на стадии пассивации и количество падающих частиц на каждой стадии. В численном эксперименте параметром моделирования для связи с длительностью времени является линейный поток, равный числу частиц (атомов фтора, СБ2, ионов), падающих на 1 А длины профиля К^А1). 1 А по ширине длины профиля при моделировании ~ 0.5А2 реальной площади. Отсюда А"' ~2*10,бсм 2. Таким образом, плотность потока атомов фтора, равная 1,7х1018/см2 с, в единицах плотности линейного потока равняется 84/А с. Варьируя линейный поток соответствующих частиц, можно определить реальное время стадий травления и осаждения

Моделирование профиля канавки травления шириной 0.5 мкм (200 клеток) и глубиной 1.6 мкм показало хорошее согласие с экспериментом (рис. 5). Число циклов травления ВозсИ-процесса равнялось 14, Ру е(сь = 480 п/А, Е^ер = 90 п/А, ^ = 6 с, Гс!ер = 4 с. Таким образом, была проведена «привязка» результатов моделирования к экспериментальным данным. В результате были определены следующие диапазоны значений для параметров модели при фиксированной вероятности реакции атомов Б с поверхностью кремния Р (-г,сверх. 5!= 0.5: К/1 = 200:1; Р р-ск = 0.002 -0.009; Р СР2-51 =0.01-0.1; К,а5 е1сЫп§ = 0.8 - 2.0; Кьа, аер081,;0П = 0.23 -2.0.

Рис. 6. Влияние частоты циклов процесса на геометрию

канавки (а, б). Результаты моделирования профилей высокоаспектных канавок (в) и их сравнение с профилем канавки шириной 0.5 мкм, полученной экспериментально (г).

С установленными параметрами было проведено моделирование глубоких с высоким аспектным отношением канавок в Si. Длительность стадии травления (tetCh) была уменьшена до 5 с. Это обусловлено требованием сохранения гладкости боковой стенки.

Чем меньше tetCh при одинаковом отношении tetCh/tdep> тем более гладкими получаются стенки (рис. 6 а, б), однако при этом уменьшается скорость травления Si. На экспериментах а) и б) Bosch-процесс занял 15 и 52 циклов при соотношении Fy_etch/Fy_deP = 1000/200 (а) и 200/50 (б). В виду этого, для тестов (рис. 6 в) была выбрана оптимальная величина tetCh равная 5 с. Результаты моделирования находились в хорошем согласии с экспериментально полученным профилем (рис. 6 г). Ширина канавок равнялась 0.1, 0.2 и 0.5 мкм соответственно. Время вычислений составило 6 ч для 0.2 мкм (АО = 25) и 10 ч для 0.5 мкм. (АО = 12.5) 195 циклов Bosch-процесса на процессоре AMD Athlon 64 4000+. На рис. 7 представлена зависимость нормированной скорости травления от аспектного отношения. Моделирование

Рис. 7. Зависимость нормированной скорости травления канавок шириной 0,1 мкм (а), 0,2 мкм (б) от аспектного отношения и падение скорости травления на дне канавки в соответствии с моделью Кнудсена при разной вероятности реакции у = 0,3 и 0,5.

показало, что падение скорости травления 81 с увеличением аспектного отношения происходило в соответствии с падением потока частиц на дно канавки, которое описывается моделью Кнудсена.

Четвёртая глава посвящена определению чувствительности модели, исследованию условий формирования канавок с разным профилем, моделированию АЗ- и АНЗ-травления, а также эффекту образования нано-микроигл на дне канавки.

Определение чувствительности модели к изменению внутренних и внешних параметров моделирования проводилось по результатам исследования степени влияния длительности стадии пассивации, коэффициентов вероятности травления ФУП атомами Б и вероятность прилипания радикалов С¥2 на профиль канавки.

Продолжительность стадии пассивации является одним из ключевых параметров ВовсИ-процесса. Изучалось его влияние на формирование профиля канавки при времени стадии травления равной 5 с. Параметры проведённых численных экспериментов были следующими: ширина канавки - 0.2мкм, 40 циклов, ие,с1г = 60В, и[1ер=5В, Рг еИь = 300 А"1, РР-5| = 0.8, Рр.Сг2 = 0.009,

а) б) в) г) д) е)

Бу^п/А) 10 20 40 60 80 120

^„(с) 0.5 1 2 3 4 6

Рис. 8. Чувствительность модели к изменению времени полимеризации, где К^р (п/А) - поток стадии осаждения, а 1с1ер_ длительность стадии осаждения

Раера\2= 0.1, Кл-а8 = 2.0. При условии слабой пассивации (1[]ср = 0.5 с) профиль канавки был близок к изотропному (рис. 8 а). С увеличением 1йер до 1 с канавка начинала выравниваться, и при = 2 с получалась канавка уже с вертикальными стенками. С дальнейшим увеличением ^ глубина канавки уменьшалась, происходило ее сужение, и на боковой стенке накапливалась полимерная пленка. В условиях сильной полимеризации происходило прекращение травления (тест е). В эксперименте такое изменение профиля канавки наблюдалось при варьировании длительности стадии осаждения с 2 до 12 с.

В другом численном эксперименте, когда Рр-си был меньше в 2,5 раза, изменение профиля канавок было менее сильным. Профили канавок в первом и втором тесте были подобными при ^ = 4 и 2 с, соответственно. Если теперь увеличить вероятность травления полимерной пленки в 3.5 раза, то профили канавок в первом и последнем случае будут также подобными. Эти данные свидетельствовали, что чувствительность модели к изменению вероятности реакции Рр-сп приблизительно такая же, как к РЛ;ск ста-Чувствительность модели к параметру ^р увеличивалась с увеличением данных вероятностей реакций.

Ш.

а б в в1 в2 а1 61 а2 62

Рис. 9. Управление углом наклона канавки (а-в). Зависимость формы канавки от температуры ионов (в1-вЗ) Демонстрация возможности по управлению процессом (а1-61 и а2-б2).

Численные эксперименты показали, что при увеличении времени стадии пассивации наблюдается изменение угла наклона канавки (рис. 8 в, г). Слабо расширяющая канавка (канавка с отрицательным углом наклона стенок) становится сужающейся (положительный угол). Для точного контроля угла наклона стенки необходимо правильно подобрать не только время пассивации, но и другие параметры моделирования. Такие же изменения в профиле канавки наблюдались и в реальном эксперименте. Анализ условий формирования канавок с разным углом наклона стенок показал, что расширяющаяся канавка возникает в случае быстрого удаления ФУП вблизи боковой стенки канавки при интенсивной ионной бомбардировке дна. В случае сильной пассивации, когда ФУП не удаляется полностью на дне в углу канавки, возникал положительный угол наклона стенки. Более сильно эффект изменения наклона стенки проявился при проведении численных экспериментов, показанных на рисунке (рис. 9 а-в).

В отличие от условий формирования вертикальной канавки (рис. 8 в), для получения сужающейся высокоаспектной канавки (А=16) были уменьшены энергия ионов на стадии травления до

35 эВ и отношение ^аЛкр до 5/2 (рис. 9 а). С увеличением 1е(с!Д!ср (5/1.5) и при небольшом увеличении энергии ионов (45 эВ) стенки канавки были уже вертикальными (рис. 9 б). С повышением энергии ионов до 95 эВ и увеличением ^ьЛдер до 6 канавка стала расширяющейся. Отрицательный угол наклона стенки равнялся 1.4 градуса, при этом для достижения такой же глубины канавки потребовалось в два раза меньше циклов. ФУП на боковой стенке при этом практически не было, что свидетельствовало о слабой пассивации, поэтому расширяющаяся канавка образовывалась даже при узком угловом распределении ионов (Т,=0.1 эВ).

С повышением температуры ионов до 0.2, 0.4 эВ (£¡=95 эВ), что соответствует увеличению о, с 2.4 до 3.6 градусов, происходило увеличение отрицательного угла наклона стенки формируемой канавки до 2.08 и 2.75 градуса (рис. 9 в1, в2). Величина отрицательного угла наклона стенки была пропорциональна а;. Эти результаты свидетельствовали о том, что угловое распределение ионов является важным фактором, определяющим угол наклона стенки. Чтобы получить канавку с вертикальными стенками при таком широком угловом распределении ионов, необходимо усиливать пассивацию боковых стенок.

В численном эксперименте показано, что в . ВозсЬ-процессе можно управлять профилем формируемых канавок. Для получения канавок с переменным наклоном боковых стенок был проведён тест травления в два этапа. На рис. 9 а1 -62 показано, как изменялась форма первоначально сформированной расширяющейся канавки (рис. 9 а1) после изменения условий процесса для формирования канавки с сужающимися стенками (рис. 9 61). При этом на боковых стенках расширяющейся канавки осаждается ФУП заметной толщины. В другом численном эксперименте было показано, как сужающаяся канавка (рис. 9 а2) после изменения параметров для получения канавки с расширяющимися стенками превращается в канавку переменной ширины (рис. 9 62).

В эксперименте при формировании узких и широких канавок наблюдаются различные аспектные эффекты. Это эффект аспектнозависимого травления, когда глубина травления узкой канавки меньше, чем широкой. Он возникал при моделировании (рис. 6 в, рис. 10 а). При определенных условиях проведения процесса наблюдался также эффект аспектнонезависимого (АНЗ) травления. В эксперименте для реализации АНЗ-травления

1шМ.

Рис. 10. Моделирование АЗ- и АНЗ-травления. Вероятность реакции PF.noBepx.si: 0.8 (а, б, г); 0.1 (а). Образование микро-наноигл.

необходимо усилить стадию пассивации и увеличить скорость травления ФУП на дне канавки. Это достигается путем увеличения времени стадии пассивации и повышением энергии ионов на стадии травления. В этом случае на дне широкой канавки образовывалась более толстая ФУП, чем на дне узкой канавки. Ее удаление на стадии травления занимает некоторое время, и поэтому глубина травления 51 в широкой и узкой канавках может быть одинаковой или даже больше (обратный апертурный эффект). Скорость травления канавок при этом уменьшается.

При моделировании условия реализации АНЗ-травления были такими же. Они достигались путем уменьшения вероятности реакции радикалов фтора с поверхностью кремния и уменьшения энергии ионов на стадии травления и увеличения времени полимеризации.

lliBIil

Mew flpg'l... Save As... ¿cm?-ess aii Model sa».a4COOx1.20С» -wOO-Cef size: 25 00

Mode) <toiienliom.16ti x 481..........

ISKW

Рис. 11. Программная реализация предложенного метода в комплексе ЕБРБ. Программа создания профилей (слева) и программа расчёта моделирования (справа).

Моделирование показывает, что при таких условиях можно добиться эффекта АНЗ-травления со снижением скорости травления (рис. 10 в). Однако при условии сильной пассивации и высокой энергии ионов наблюдалось образование наноигл на дне Si канавок (рис. 10 6, г). Микроиглы возникают на дне широкой канавки (более 1 мкм) и не образуются на дне узкой (менее 0.1 мкм) канавки. Анализ показал, что возникновение микроигл обусловлено неоднородным по поверхности образованием и травлением ФУП и большой разницей в скоростях травления ФУП и Si (рис. 10 б, в, г). При повторении циклов Т/О толщина ФУП на стенках наноигл увеличивается. Эта наноразмерная вертикально стоящая стенка из ФУП не поддается травлению в плазме SF6 и может быть ядром образования наномаски, а затем микромаски (вставка на рис. 10 г). В узких канавках осаждение ФУП, ее травление и травление Si более однородно и поэтому микроиглы не образуются.

В пятой главе приводится программная реализация предложенной модели и описываются основные её особенности.

Метод моделирования реализован в созданном интерактивном программном комплексе EDPS (Etch-deposition profile simulator). Комплекс предоставляет широкие возможности для проведения численных экспериментов моделирования и состоит из двух

программ: 1) программа создания и редактирования начальных профилей канавок (рис. 11 слева); 2) программа расчёта процесса моделирования (рис. 11 справа).

Отметим основные особенности комплекса моделирования:

• гибкий инструмент создания начальных профилей;

• сохранение процесса вычислений с возможностью загрузки и продолжения;

• полуавтоматический расчёт параметров моделирования;

• визуализация данных хода моделирования в реальном времени посредством библиотеки OpenGL;

• градиентная раскраска клеток профиля в зависимости от их материала;

• построение графиков скоростей и других статистических данных процесса в реальном времени;

• сохранение параметров моделирования и создание серии снимков хода процесса моделирования;

Разработан формат хранения исходных данных с использованием алгоритма сжатия, подобного RLE.

Разработаны алгоритмы начального измерения ширины и глубины канавки, а также методы расчёта скорости процесса, средней и нормированной скорости процесса. Перечисленные показатели хода процесса записывались в специальном текстовом формате *.DAT программы Origin v6.1.

В алгоритмах отрисовки применялась цветовая интерполяция поверхностных клеток в зависимости от их наполненности теми или иными атомами или молекулами.

Оценена трудоёмкость вычислений и зависимость её от различных параметров. Оказалось, что трудоёмкость квадратично зависит от размеров домена моделирования и напрямую зависит от скорости алгоритма нахождения точки пересечения траектории падающей частицы с поверхностью. Предлагаются варианты дальнейшего ускорения работы комплекса с применением распараллеливания вычислений, использованием многоядерной архитектуры процессоров, применением низкоуровневой и программной оптимизации кода и оптимизации математических алгоритмов. На данном этапе комплекс позволяет рассчитывать структуры общей площадью около 7 мкм (эквивалентно ~ 1.12х106 клеток с шириной 25 Â) за время в пределах 18 часов в зависимости от выбора платформы.

При разработке программного комплекса закладывалась перспектива поддержки трёхмерного моделирования процессов с построением плоских сечений и проекций.

В заключении сделаны основные выводы и приведены результаты проделанной работы, выделена научная новизна работы, показано, что предложенный метод имеет большое прикладное значение.

Работы автора по теме диссертации

Основные результаты диссертации представлены в следующих публикациях:

1. Амиров И.И., Шумилов А.С. Влияние ионной бомбардировки на травление канавок в кремнии в высокоплотной фторуглеродной плазме. Эксперимент и модель. Материалы XVI Международной конференции «Взаимодействие ионов с поверхностью» Москва. 2003. Т. 2. С.302-305.

2. I.Amirov, M.Izyumov, O.Morozov, A.Shumilov. Formation of micro- and nanostructures in Si and Si02 using plasma etching and deposition process. Abstracts. International Conference "Micro-and nanoelectronics -2003" Moscow, Zvenigorod,Russia. P.02-50.

3. Shumilov A.S.,Amirov I.I. Simulation of feature profile evolution during deep plasma etching of Si by cell-string hybrid method. // Book of abstr. Int. Conf. "Micro- and nanoelectronics 2005" ICMNE 2005. October 3-7th, 2005. Moscow Zvenigorod, Russia. P. 02-17.

4. Shumilov A.S.,Amirov I.I. Simulation of feature profile evolution during the cyclic etching/passivatin process of deep plasma Si etching by the cell-string hybrid method. // Book of abstr. Int. Conf. "Micro- and nanoelectronics 2007" ICMNE 2007. October 3-7th, 2007. Moscow Zvenigorod, Russia. P. 03-12.

5. Шумилов A.C., Амиров И.И. Моделирование формирования глубоких канавок в Si в плазмохимическом, циклическом травление/пассивация процессе. Н Микроэлектроника. 2007. Т. 36. .№4. С. 277-287.

6. Шумилов A.C., Амиров И.И., Лукичев В.Ф. Моделирование эффектов формирования глубоких с разным профилем канавок в кремнии в плазмохимическом, циклическом процессе. // Труды V Международного Симпозиума по плазмохимии. Иваново. 2008. С.285-289.

7. Шумилов A.C., Амиров И.И, Моделирование формирования микроигл на поверхности кремния в плазмохимическом, циклическом процессе. // Тезисы докладов международной научно-технической конференции «Микроэлектроника и наноинженерия-2008. Москва, Зеленоград. 2008. С. 196.

8. Амиров И.И., Шумилов A.C. Механизм формирования микроигл на поверхности кремния во фторосодержащей плазме в циклическом травление/осаждение процессе. // Химия высоких энергий. 2008. Т. 42. .№5. С446-450

Оглавление автор диссертации — кандидата физико-математических наук Шумилов, Андрей Станиславович

СПИСОК СОКРАЩЕНИЙ.

ВВЕДЕНИЕ.

ГЛАВА 1. ОБЗОР МЕТОДОВ И СИСТЕМ МОДЕЛИРОВАНИЯ ФОРМИРОВАНИЯ

МИКРОСТРУКТУР В КРЕМНИИ В ПРОЦЕССАХ ПЛАЗМОХИМИЧЕСКОГО ТРАВЛЕНИЯ И ОСАЖДЕНИЯ.

1.1. Предмет и уровни моделирования. Общее представление о структуре модели

1.2. Классификация алгоритмов и методов моделирования.

1.2.1. Математические способы расчёта потоков частиц плазмы.

1.2.2. Способы представления профиля поверхности и его модификации.

1.3. Описание и эволюция существующих подходов и систем моделирования.

1.4. Постановка задачи.

ГЛАВА 2. ПРЕДЛАГАЕМЫЙ МЕТОД МОДЕЛИРОВАНИЯ.

2.1. Схема и краткое описание алгоритмов метода.

2.2. Представление профиля поверхности методом ячеек.

2.2.1. Расчёт поверхностных реакций.

2.2.2. Расчёт атомного баланса в клетках.

2.2.3. Алгоритмы удаления старых и добавления новых клеток.

2.3. Математические основы метода.

2.3.1. Генерация потоков частиц плазмы.

2.3.2. Организация и представление данных модели.

2.3.3. Построение цепочки поверхностных клеток.

2.3.4. Вычисление точки пересечения частицы плазмы с поверхностью.

2.3.5. Аппроксимация клеточной поверхности и её влияние на формирование профиля канавки.

2.3.6. Отражение частиц от поверхности.

ГЛАВА 3. МОДЕЛИРОВАНИЕ ТРАВЛЕНИЯ ГЛУБОКИХ КАНАВОК В ВОБСН

ПРОЦЕССЕ.

3.1. Описание циклического двух стадийного процесса глубокого травления в БГо/СдЕз-плазме.

3.2. Модели травления кремния и ФУП в плазме ББб.

3.2.1. Травление кремния и ФУП радикалами фтора.

3.2.2. Ионно-стимулированное травление ФУП фтором.

3.3. Модель осаждения ФУП.

3.3.1. Химическое осаждение ФУП.

3.3.2. Ионно-стимулированное осаждение ФУП.

3.4. Размеры клетки и пределы плотности для создания и удаления клеток.

3.5. Направленное травление, критический угол.

3.6. Механизм зеркального отражения ионов.

3.7. Моделирование глубокого травления кремния в Bosch-процессе. Сравнение с экспериментом.

3.7.1. Параметры моделирования.

3.7.2. Калибровка модели.

3.7.3. Эксперимент. Сравнение результатов моделирования с экспериментальными данными.

ГЛАВА 4. МОДЕЛИРОВАНИЕ ЭФФЕКТОВ ФОРМИРОВАНИЯ И УПРАВЛЕНИЕ

ПРОФИЛЕМ ГЛУБОКИХ КАНАВОК В BOSCH-ПРОЦЕССЕ.

4.1. Зависимость профиля канавки от частоты циклов Bosch-процесса.

4.2. Анализ чувствительности модели к продолжительности стадии осаждения и вероятностям реакций.

4.3. Моделирование канавок с разным наклоном стенок.

4.4. Управление профилем канавок.

4.5. Моделирование эффектов аспектнозависимого и аспектнонезависимого травления кремния.

4.6. Моделирование эффекта возникновения микроигл на поверхности кремния

ГЛАВА 5. ПРОГРАММНОЕ ОБЕСПЕЧЕНИЕ ПРЕДЛОЖЕННОЙ МОДЕЛИ.

5.1. Программный комплекс моделирования.

5.2. Интерфейс пользователя и требования к программе.

5.3. Представление данных модели в памяти и оптимизация быстродействия вычислений.

Введение 2009 год, диссертация по электронике, Шумилов, Андрей Станиславович

Математическое моделирование является неотъемлемой частью исследований и разработок новых плазменных процессов травления и осаждения в технологии микро- и наноэлектроники [1-8]. Получение желаемой формы структур травления на всей поверхности подложки является одной из целей таких исследований. Разработанные эмпирические модели помогают как при оптимизации, так и при создании новых процессов травления. Однако в технологии микросистемной техники при разработке плазменных процессов формирования 81 микроструктур с высоким аспектным отношением [9-15] моделирование не является столь распространенным. Для создания различных элементов микроэлектромеханических систем (МЭМС) [9, 11, 16], микрогироскопов и микроакселерометров (рис. 1) был разработан специальный высокоскоростной и высокоанизотропный процесс травления 81. Он осуществляется в плазме 8Рб/С4Р8 или 8РбЮ2 высокочастотного индукционного (ВЧИ) разряда в циклическом двухстадийном (травление/пассивация) режиме (ВозсЬ-процесс) [9-13, 17-20]. Характеристики процесса зависят от многих параметров, и поэтому при их разработке моделирование процессов является необходимым элементом исследования, т. к. способствует не только правильности понимания механизма формирования микроструктур, но и дает возможность многократного проведения вычислительных экспериментов [9,2124]. Проведение реального эксперимента является непростой, достаточно дорогой задачей и значительно удлиняет цикл разработки изделий [4].

Моделирование многостадийных циклических процессов гораздо сложнее одностадийного моделирования, именно поэтому опубликованных работ по многостадийному моделированию не так много. Симуляция многократно чередующихся стадий процесса требует от модели более высокой вычислительной точности. Даже если погрешность расчетов одностадийных процессов достаточно невелика и хорошо согласуется с экспериментальными

Рис. 1. Примеры глубокого травление Бг Технология МЕМС (микрогироскопы, акселерометры ). данными, то при многократном повторении циклов процесса погрешность многократно возрастает и приводит к накоплению больших вычислительных ошибок.

Большинство из представленных на момент начала данной работы (2003 г.) симуляторов профиля травления не учитывает многих факторов, влияющих на формирование профиля структур травления, что делает их очень ограниченными в применении. В частности, не было представлено моделей, способных предсказывать эволюцию профиля в циклическом травлении 81 в ВовсИ-процессе в плазме 8Р6/С4Р8. Поэтому дальнейшее развитие методов моделирования формирования микроструктур связано с более детальным представлением моделей физико-химических процессов травления/осаждения на поверхности 81 и совершенствованием алгоритмов представления и изменения профиля поверхности [4].

Заключение диссертация на тему "Моделирование формирования глубоких канавок в кремнии в Bosch-процессе"

Заключение

В работе приведены результаты численного 2-мерного моделирования процессов формирования канавок с различной геометрией профиля при травлении 81 в циклическом двухстадийном процессе травление/пассивация в плазме 8Р6/С4Р8. Получены результаты моделирования процесса формирования канавок с различной гладкостью стенок, выпуклостью стенок и различным углом наклона стенок. Исследовано поведение модели при изменении времени стадий полимеризации и травления, напряжения смещения и других параметров процесса. Для моделирования использовалась разработанная автором моделирующая программная система ЕОР8, в основу которой положен предложенный гибридный метод моделирования травления глубоких канавок в 81, основанный на методе МК для генерации потоков частиц плазмы и методе ячеек для представления и изменения профиля. Результаты моделирования подтверждаются теоретическими и литературными данными и хорошо совпадают с экспериментальными данными.

Разработанная и использованная модель в составе симулятора ЕОР8 достаточно точна для того, чтобы моделировать циклическое многостадийное травление 81 в плазме 8Бб и С.^. Тесты по имитационному моделированию ВовсИ-процесса подтверждают способность предложенного метода предсказывать некоторые из структурных эффектов формируемых канавок (эффект зубцов, эффекты затенения, ионно-стимулированные процессы, подтрав маски, образование микротренчинга, выпуклость и наклон стенок канавки, эффекты АЗ- и АНЗ-травления, контроль параметров ВоБсЬ-процесса).

Кроме предсказательной возможности, имитационное моделирование помогает лучше понять и изучить динамику процесса, а также оценить влияние различных параметров процесса на геометрию получаемого профиля. С помощью имитационного моделирования пользователь также может выполнять и обратную задачу — по известным результатам эксперимента подобрать и оценить параметры реального эксперимента.

Научная новизна результатов, полученных в диссертационной работе, заключается в следующем:

• Разработан новый гибридный метод 2-мерного моделирования циклического двухстадийного (травление/пассивация) процесса формирования глубоких канавок в 81, в котором используется метод ячеек для описания и динамики профиля поверхности, метод МК для генерации потоков плазмы и разработанные автором математические алгоритмы.

• Метод моделирования реализован в созданном интерактивного программном комплексе ЕБР8. Комплекс предоставляет широкие возможности для проведения численных экспериментов моделирования.

• В методе реализованы физико-химические модели травления 81 в плазме 8Р6 и ионно-стимулированного осаждения ФУП в плазме С4р8, также ее травления в плазме 8Рб. На основе экспериментальных данных проведена верификация и определены основные параметры моделирования.

• С помощью разработанного программного комплекса впервые было осуществлено имитационное моделирование формирования глубоких В А (А0>10) канавок субмикронных размеров в 81 в ВоБсЬ-процессе в плазме 8Р6/С4Р8- Сравнение результатов моделирования с экспериментальными данными подтверждает правильность модели. Показано, что в условиях аспектнозависимого травления глубоких канавок в 81 скорость процесса определяется доставкой атомов фтора на дно канавки.

• Выполненное моделирование воспроизводит широкий ряд эффектов искажения профиля канавок при травлении 81 в ВозсЬ-процессе в плазме 8Р6/С4р8- С помощью разработанного программного комплекса показано, что, изменяя управляющие параметры в течение процесса, можно варьировать форму субмикронных канавок. Определены условия формирования канавок с разным углом наклона боковых стенок, возникновения апертурного эффекта, достижения аспектнонезависимого травления.

Впервые при моделировании формирования глубоких канавок в 81 был получен эффект образования наноигл на дне широких канавок в условиях сильной пассивации. Вероятными причинами их образования могут быть: неоднородное по поверхности осаждение и травление ФУП и разница в скоростях травления ФУП и 81. В соответствии с экспериментальными данными наноструктуры образуются на дне широких канавок и отсутствуют в узких.

Библиография Шумилов, Андрей Станиславович, диссертация по теме Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах

1. Bose D., Rauf S., Hash D.B., Govindan T.R., Meyyappan M. Monte Carlo sensitivity analysis of CF2 and CF radical densities in a c-C4F8 plasma // J. Vac. Sci. Technol. A. 2004. V.22. N6. P.2290-2298.

2. Gogolides E., Vauvert P., Kokkoris G., Turban G., Boudouvis A.G. Etching of SiC>2 and Si in fluorocarbon plasmas: A detailed surface model accounting for etching and deposition // J. Appl. Phys. 2000. V.88. N10. P.5570-5584.

3. Sowa M.J., Littau M.E., Pohray V., Cecchi J.L. Fluorocarbon polymer deposition kinetics in a low-pressure, high-density, inductively coupled plasma reactor // J. Vac. Sci. Technol. A. 2000. V.18. N5. P.2122-2134.

4. Law M.E. Process modeling for future technologies // IBM J. Res.&Dev. 2002. V.46. N2/3. P.339-346.

5. Поттер. Д. Вычислительные методы в физике. М.: Мир, 1975. 392 с.

6. Иванов М.Ф., Гальбрут В.А. Численное моделирование динамики газов и плазмы методами частиц: Учеб. пособие. М.: МФТИ, 2000. 168 с.7. 3-Dimentional process simulation. Lorenz J. Springer-Verlag/Wien. Germany, 1995, 196 pp.

7. Level Set Methods and Fast Marching Methods. Sethian J.A. Cambridge University Press. 1999, 400 pp.

8. Rangelow W. Critical tasks in high aspect ratio silicon dry etching for micro-electromechanical systems // J. Vac. Sci. Technol. A. 2003. V.21. N4. P.1550-1562.

9. Laermer F., Urban A. Challenges, developments and applications of silicon deep reactive ion etching // Microelectronic Engineering. 2003. V.67-68. P.349-355.

10. McAuley S.A., Ashraf H., Atabo L., Chambers A., Hall S., Hopkins J., Nicholls G. Silicon micromachining using a high-density plasma source // J. Phys. D: Appl. Phys. 2001. V.34. N18. P.2769-2774.

11. Zhu Y., Yan G., Fan J., Zhou. J., Liu X., Li Z., Wang Y. Fabrication of keyhole-free ultra-deep high-aspect-ratio isolation trench and its application // J. Micromech. Microeng. 2005. V.15. N3. P.636-642.

12. Aachboun S., Ranson P. Deep anisotropic etching of silicon // J. Vac. Sci. Technol. A. 1999. V.17. N4. P.2270-2273.

13. Плазменная технология в производстве СБИС. ред. Айнспрук Н., Браун Д. М.: Мир, 1987.-470 с.

14. Li J., Zhang Q.X., Liu A.Q. Advanced fiber optical switches using deep RIE (DRIE) fabrication // Sensors and Actuators. A. 2003. V.102. N3. P.286-295.

15. Ayon A.A., Zhang X., Khanna R. Anisotropic silicon trenches 300-500 ¡im deep employing time multiplexed deep etching (TMDE) // Sensors and Actuators. A. 2001. V.91. N3. P.387-391.

16. Blauw M.A., Craciun W., Sloof W.G., French P.J., Drift E. Advanced time-multiplexed plasma etching of high aspect ratio silicon structures // J. Vac. Sci. Technol. B. 2002. V.20. N6. P.3106-3110.

17. Амиров И.И., Морозов O.B., Изюмов M.O., Кальнов В.А., Орликовский А.А., Валиев К.А. Плазмохимическое травление глубоких канавок в кремнии с высоким аспектным отношением для создания элементов микромеханики // Микросист. техн. 2004. № 12. С.15-18.

18. Walker М,J. Comparison of Bosch and cryogenic processes for patterning high aspect ratio features in silicon // Proc. SPIE. 2001. V.4407. P.89-99.

19. Graves D.B., Kushner M.J. Influence of modeling and simulation on the maturation of plasma technology: Feature evolution and reactor design // J. Vac. Sci. Technol. A. 2003. V.21. N 5. P.S152-S156.

20. Hamaoka F., Yagisawa Т., Makabe T. Modeling of Si Etching Under Effects of Plasma Molding in Two-Frequency Capacitively Coupled Plasma in SF6/O2 for MEMS Fabrication // IEEE Transact. On Plasma Sci. 2007. V.35. N 5. P1350-1358.

21. Ventzek P.L.G., Rauf S., Stout P.J., Zhang D., Dauksher W., Hall E. Application and simulation of low temperature plasma processes in semiconductor manufacturing // Appl. Surf. Sci. 2002. V.192. N 1-4. P.201-215.

22. Гулд X., Тобочик я. Компьютерное моделирование в физике. Часть 1. М.: Мир, 1990, -352 с.

23. Plasma processes for semiconductor fabrication. Hitchon W.N.G. Cambridge University press, 1999, 221 pp.

24. Sankaran A., Kushner M.J. Etching of porous and solid Si02 in Ar/c^Fg, O2/C-C4F8 and Ar/ 02/c-C4F8 plasmas // J. of Appl. Phys. 2005. V.97. N 2. P.023307.1-023307.10.

25. Sankaran A., Kushner M.J. Integrated feature scale modeling of plasma processing of porous in solid Si02. I. Fluorocarbon etching // J. Vac. Sci. Technol. A. 2004. V.22. N4. P.1242-1259.

26. Sankaran A., Kushner M.J. Integrated feature scale modeling of plasma processing of porous and solid Si02 . II. Residual fluorocarbon polymer stripping and barrier layer deposition // J. Vac. Sci. Technol. A. 2004. V.22. N 4. P.1260-1274.

27. Zhang D., Kushner M.J. Surface kinetics and plasma equipment model for Si etching by fluorocarbon plasmas // J. of Appl. Phys. 2000. V.87. N 3. P.1060-1069.

28. Zhang D., Kushner M.J. Mechanisms for CF2 radical generation and loss on surfaces in fluorocarbon plasmas // J. Vac. Sci. Technol. A. 2000. V.18. N 6. P.2661-2668.

29. Hoekstra R.J., Kushner M.J. Comparison of two-dimensional and three-dimensional models for profile simulation of poly-Si etching of finite length trenches // J. Vac. Sci. Technol. A. 1998. V.16. N 6. P.3274-3280.

30. Hoekstra R.J., Kushner M.J., Sukharev V., Schoenborn P. Microtrenching resulting from specular reflection during chlorine etching of silicon // J. Vac. Sci. Technol. B. 1998. V.16. N 4. P.2102-2104.

31. Agarwal A., Kushner M.J. Plasma atomic layer etching using conventional plasma equipment //J. Vac. Sci. Technol. A. 2009. V.27.N 1. P.37-50.

32. Chang. J.P., Coburn J.W. Plasma-surface interactions // J. Vac. Sci. Technol. A. 2003. V.21. N 5. P.S145-S151.

33. Ohta H., Hamaguchi S. Molecular dynamics simulation of silicon and silicon dioxide etching by energetic halogen beams // J. Vac. Sci. Technol. A. 2001. V.19. N 5. P.2373-2381.

34. Humbird D., Graves D.B., Hua X., Oehrlein G.S. Molecular dynamics simulations of Ar+-induced transport of fluorine through fluorocarbon films // Appl. Phys. Lett. 2004. V.84. N 7. P.1073-1075.

35. Humbird D., Graves D.B. Molecular dynamics simulations of Si-F surface chemistry with improved interatomic potentials // Plasma Sources Sci. Technol. 2004. V.13. P.548-552.

36. Трушин О.С., Викулов П.А., Карим А., Кара А., Рахман Т. Исследование диффузионных процессов на поверхности металлов методом самообучаемого кинетического Монте-Карло // Мат. моделирование. 2007. Т.19. № 3. С.116-126.

37. Helmer В.А., Graves D.B. Molecular dynamics simulations of C\j impacts onto a chlorinated silicon surface: Energies and angles of the reflected CI2 and CI fragments // J. Vac. Sci. Technol. A. 1999. V.17. N 5. P.2759-2770.

38. Sasata K., Yokosuka Т., Kurokawa H., Takami S., Kubo M., Imamura A., Shinmura Т., Kanoh M., Elvam P., Miyamoto A. Quantum Chemical Molecular Dynamics Simulation of the Plasma Etching Processes // Jpn. J. Appl. Phys. 2003. V.42. N 4B. P. 1859-1864.

39. Bear M.J., Guillory J.U. Plasma etch/deposition modeling: A new dynamically coupled multiscale code and comparison with experiment // J. Vac. Sci. Technol. A. 2000. V.18. N 5. P.2045-2052.

40. Лукичёв В.Ф., Юнкин B.A. Масштабирование скорости травления и подобие профилей при плазмохимическом травлении // Микроэлектроника. 1998. Т.27. № 3. С.229-239.

41. Rauf S., Dauksher W.J., Clemens S.B., Smith K.H. Model for a multiple-step deep Si etch process // J. Vac. Sci. Technol. A. 2002. V.20. N 4. P. 1177-1190.

42. Mahorowala A.P., Sawin H.H. Etching of polysilicon in inductively coupled CI2 and HBr discharges. IV. Calculation of feature charging in profile evolution // J. Vac. Sci. Technol. B. 2002. V.20. N3. P.1084-1095.

43. Hwang G.S., Giapis K.P. On the origin of the notching effect during etching in uniform high density plasmas // J. Vac. Sci. Technol. B. 1997. V.15. N 1. P.70-87.

44. Kinoshita S., Takagi S., Yabuhara H., Nishimura H., Kawaguchi H., Shigyo N. Calibration method for high-density-plasma chemical vapor deposition simulation // Jpn. J. Appl. Phys. 2002. V.41. N 4A. P.1974-1980.

45. Zakka E., Constantoudis V., Gogolides E. Roughness formation during plasma etching of composite materials: A kinetic Monte Carlo approach // IEEE Transact, on Plasma Sci. 2007. V.35.N5. P.1359-1369.

46. Mahorowala A.P., Sawin H.H. Etching of polysilicon in inductively coupled CI2 and HBr discharges. III. Photoresist mask faceting, sidewall deposition, and microtrenching // J. Vac. Sci. Technol. B. 2002. V.20. N 3. P.1077-1083.

47. Rey J.C., Cheng L.-Y., McVittie J.P., and Saraswat K.C. Monte Carlo low pressure deposition profile simulations // J. Vac. Sci. Technol. A. 1991. V.9. N 3. P.1083-1087.

48. Volland B.E., Rangelow I.W. The influence of reactant transport on the profiles of gas chopping etching processes: a simulation approach // Microelectr. Eng. 2003. V.67-68. P.338-348.

49. Jin W., Sawin H.H. Feature profile evolution in high-density plasma etching of silicon with Cl2 // J. Vac. Sci. Technol. A. 2003. V.21. N 4. P.911-921.

50. Im Y.H., Halin Y.B., Pearton S.J. Level set approach to simulation of feature profile evolution in a high-density plasma-etching system // J. Vac. Sci. Technol. B. 2001. V.19. N 3. P.701-710.

51. IslamRaja M.M., Cappelli M.A., McVittie J.P., Saraswat K.C. A 3-dimentional model for low-pressure chemical-vapour-deposition step coverage in trenches and circular vias // J. Appl. Phys. 1991. V.70. N 11. P.7137-7140.

52. Levinson J.A., Shaqfeh E.S.G., Balooch M., Hamza A.V. Ion-assisted etching and profile development of silicon in molecular chlorine // J. Vac. Sci. Technol. A. 1997. V.15. N4. P.1902-1912.

53. Adalsteinsson D., Sethian J.A. A level set approach to a unified model for etching, deposition, and lithography I: Algorithms and two-dimensional simulations // J. of Appl. Phys. 1995. V.120.N 1. P.128-144.

54. Adalsteinsson D., Sethian J.A. A level set approach to a unified model for etching, deposition, and lithography II: 3-dimensional simulations // J. Comp. Phys. 1995. V.122. N 2. P.348-366.

55. Adalsteinsson D., Sethian J.A. An overview of level set methods for etching, deposition, and lithography development // IEEE Transact, on Semicond. Dev. 1996. V.10. N 1. P. 167-184.

56. Sethian J.A. A fast marching level set method for monotonically advancing fronts // Proc. Natl. Acad. Sci. (USA), 1996. V.93. N 4. P.1591-1595.

57. Kokkoris G., Boudouvis A.G., Gogolides E. Integrated framework for the flux calculation of neutral species inside trenches and holes during plasma etching // J. Vac. Sci. Technol. A. 2006. V.24. N 6. P.2008-2020.

58. Kim H.-B., Hobler G., Steiger A., Lugstein A., Bertagnolli E. Level set approach for the simulation focused ion beam processing on the micro/nano scale // Nanotech. 2007. V.18. P.265307.1-265307.6.

59. Abdollahi-Alibeik S., McVittie J.P., Saraswat K.C. Analytical modeling of silicon etch process in high density plasma// J. Vac. Sci. Technol. A. 1999. V.17. N 5. P.2485-2481.

60. Takagi S., Iyanagi K., Onoue S., Shinmura T., Fujino M. Topography simulation of reactive ion etching combined with plasma simulation, sheath model, and surface reaction model // Jpn. J. Appl. Phys. 2002. V.41. N 6A. P.3947-3954.

61. Xing Y., Gosalvez M.A., Sato K. Step flow-based cellular automation for the simulation of anisotropic etching of complex MEMS structures // New Journal of Phys. 2007. V.9. N 436. P.1-18.

62. Belen J.R., Gomez S., Kiehbauch M., Cooperberg D., Aydil E.S. Feature scale model of Si etching in SF6 plasma and comparison with experiments // J. Vac. Sci. Technol. A. 2005. V.23. N 1. P.99-113.

63. Zhou R., Zhang H., Hao Y., Wang Y. Simulation of the Bosch process with a string-cell hybrid method // J. Micromech. Microeng. 2004. V.14. N 7. P.851-858.

64. Zhou R., Zhang H., Hao Y., Zhang D., Wang Y. Simulation of profile evolution in etching-polymerization alternation in DRIE of silicon with SF6/C4F8 // MEMS-03 Kyoto. 2003. V.3. P.161-164.

65. Tan Y., Zhou R., Zhang H., Lu G., Li Z. Modeling and simulation of the lag effect in a deep reactive ion etching process // J. Micromech. Microeng. 2006. V.16. N 12. P.2570-2575.

66. Reynolds J.L., Neureuther A.R., Oldham W.G. Simulation of dry etched line edge profiles // J. Vac. Sci. Technol. 1979. V.16. N 6. P.1772-1775.

67. Jewett R.E., Hagouel P.I., Neureuther A.R., van Duzer T. Line-profile resist development simulation techniques // Polimer Eng. Sci. 1977. V.17. P.381-384.

68. Tazawa S., Matsuo S., Saito K. A general characterization and simulation method for deposition and etching technology // IEEE Trans. Semicond. Manufact. 1992. V.5. N 1. P.27-33.

69. Handbook of Thin-Film Deposition Processes and Techniques Principles, Methods, Equipment and Applications (2nd Edition). Edited by Seshan.K. William Andrew Publishing/Noyes, 2002, 676 pp.

70. Singh V.K., Shaqfeh E.S.G., McVittie J.P. Simulation of profile evolution in silicon reactive ion etching with re-emission and surface diffusion // J. Vac. Sci. Technol. B. 1992. V.10. N 3. P.1091-1104.

71. Radjenovic B., Lee J.K., Radmilovic-Radjenovic M. Sparse field level set method for non-convex Hamiltonians in 3D plasma etching profile simulations // Comp. Phys. Comm. 2006. V.174.N2. P.127-132.

72. Hwang G.S., Anderson C.M., Gordon M.J., Moore T.A., Minton T.K., Giapis K.P. Gas-surface dynamics and profile evolution during etching of silicon // Phys. Rev. Lett. 1996. V.77. N 14. P.3049-3052.

73. Marcos G., Rhallabi A., Ranson P. Topographic and kinetic effects of the SF6/O2 rate during a cryogenic etching process of silicon // J. Vac. Sci. Technol. B. 2004. V.22. N 4. P.1912-1922.

74. Zhou Z.-F., Huang Q.-A., Li W.-H., Lu W. A novel 2D dynamic cellular automata model for photoresist etching process simulation // J. Micromech. Microeng. 2005. V.15. N 3. P.652-662.

75. Marchetti J., He Y., Than O., Akkaraju S. Efficient process development for bulk silicon etching using cellular automata simulation techniques // Proc. SPIE. 1998. V.3514. P.287-295.

76. Allen R.W. The modeling of sputtering and etching process on a micro computer // Proc. of SISDEP. 1984. P.525-537. http://www.sispad.org.

77. Blech I.A. Evaporated film profiles over steps in substrates // Thin Solid Films. 1970. V.6. P.113-118.

78. Blech I.A., Vander Plas H.A. Step coverage simulation and measurement in a dc planar magnetron sputtering system // J. Appl. Phys. 1983. V.54. N 6. P.3489-3496.

79. Brett M.J., Westra K.L., Smy T. Simulation of step coverage profiles and film microstructure // IEDM Tech. Digest. 1988. P.336-339.

80. Oldham W.G., Neureuther A.R., Sung C., Reynolds J.L., Nandgaonkar S.N. A general simulator for VLSI lithography and etching processes: Part II Applications to deposition and etching // IEEE Trans. El. Dev. 1980. V.27. N 8. P.1455-1459.

81. Hamaguchi S., Mayo A.A., Rossnagel S.M., Kotecki D.E., Milkove K.R., Wang C., Farrell C.E. Numerical Simulation of Etching and Deposition Processes // Jpn. J. Appl. Phys. 1997. V.36. N 7B. P.4762-4768.

82. Abdollahi-Alibeik S., Zheng J., McVittie J.P., Saraswat K.C., Gabriel C.T., Abraham S.C. Modeling and simulation of feature-size-dependent etching of metal stacks // J. Vac. Sci. Techno 1. B. 2001. V.19. N 1. P.179-185.

83. Распыление твёрдых тел ионной бомбардировкой. Выпуск II. ред. Бериш Р. М.: Мир, 1986,-484 с.

84. Virmani М., Levedakis D.A., Raupp G.B., Cale T.S. Feature Scale Simulation Studies of TEOS-sourced Remote Microwave PECVD of Silicon Dioxide: Role of Oxygen Atom Recombination // J. Vac. Sci. Technol. A. 1996. V.14. N 3. P.977-983.

85. Cheng L.Y., McVittie J. P., Saraswat К. C. A New Test Structure to Identify Step Coverage Mechanisms in CVD Si02 // Appl. Phys. Letters. 1991. V.58. N 19. P.2147-2149.

86. Zheng J., McVittie J.P. Modeling of side wall passivation and ion saturation effects on etching profiles // NUPAD V Int. Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits (5-6 June 1994) P.37-40.

87. McVittie J.P, Rey J.C., Cheng L.Y., IslamRaja M.M., Saraswat K.C. LPCVD Profile Simulation Using a Re-emission Model // IEDM. 1990. P.917-920.

88. Harafuji K., Misaka A. Dry etching topography simulator with a new surface reaction model; MODERN // IEEE Trans. Electron Devices 1995. V.42. N 11. P. 1903-1911.

89. Nishimura H., Takagi S., Fujino M., Nishi N. Gap-Fill Process of Shallow Trench Isolation for 0.13 цт Technologies // Jpn. J. Appl. Phys. 2002. V.41. N 5A. P.2886-2893.

90. Volland B.E., Ivanov Tzv., Rangelow I.W. Profile simulation of gas chopping based etching processes//J. Vac. Sci. Technol. B. 2002. V.20. N 6. P.3111-3117.

91. Blauw M.A., Zijlstra Т., Drift E. Radical transport in deep silicon structures during dry etching // Proceeding of the SeSens workshop. 2000. P.617-622.

92. Abraham-Shrauner B. Plasma etches profiles of passivated open-area trenches // J. Vac. Sci. Technol. B. 2001. V.19. N 3. P.711-721.

93. Arnold J.C., Sawin H.H. Charging of pattern features during plasma etching // J. Appl. Phys.1991. V.70. N 10. P.5314-5317.

94. Shaqfeh E.S.G., Jurgensen C.W. Simulation of reactive ion etching pattern transfer // J. Appl. Phys. 1989. V.66. N 10. P.4664-4675.

95. Winters H., Coburn J.W. Surface science aspects of etching reactions // Surf. Sci. Reports.1992. V.14. N 4-6. P.162-265.

96. Gotoh Y., Kure Т., Tachi S. Estimation of ion incident angle from Si etching profiles // Jpn. J. Appl. Phys. 1993. V.32. N 6B. P.3035-3039.

97. Coburn J.W., Winters. H. F. Conductance considerations in the reactive ion etching of high aspect ratio features // Appl. Phys. Lett. 1989. V.55. N 26. P.2730-2732.

98. Амиров И.И., Алов H.B. Осаждение полимерной пленки в плазме высокочастотного индукционного разряда перфторциклобутана в смеси с гексафторидом серы // Химия высоких энергий. 2006. Т.40. N 4. С.311 -316.

99. Kwon О., Sawin Н.Н. Surface kinetics modeling of silicon and silicon oxide plasma etching. II. Plasma etching surface kinetics modeling using translating mixed-layer representation // J. Vac. Sci. Technol. A. 2006. V.24. N 5. P.1914-1919.

100. Boufinichel ML, Aachboun S. Profile control of high aspect ratio trenches of silicon. II. Study of the mechanisms responsible for local bowing formation and elimination of this effect // J. Vac. Sci. Technol. B. 2003. V.21. N 1. P.267-273.

101. Gotoh Y., Kure T. Analysis of polymer formation during Si02 microwave plasma etching // Jpn. J. Appl. Phys. 1995. V.34. N 4B. P.2132-2136.

102. Hedlund C., Jonsson L.B., Katardjiev I.V., Berg S., Blom H.-O. Angular dependence of the polysilicon etch rate during dry etching in SF6 and СЬ // J- Vac. Sci. Technol. A. 1997. V.15. N 3. P.686-691.

103. Meguro Т., Aoyagi Y. Ion beam induced dry etching and possibility of highly charged ion beam // RIKEN Review. 2000. N.31. P.48-51.

104. Kimura Y., Coburn J. W., Graves D.B. Vacuum beam studies of fluorocarbon radicals and argon ions on Si and Si02 surfaces // J. Vac. Sci. Technol. A. 2004. V.22. N 6. P.2508-2516.

105. Blauw M.A., Zijlstra Т., Drift E. Balancing the etching and passivation in time-multiplexed deep dry etching of silicon // J. Vac. Sci. Technol. B. 2001. V.19. N 6. P.2930-2934.

106. Hanley L., Lim H., Schultz D.G., Wainhaus S.B., Claire P. de S., Hase W.L. Surface energy transfer by low energy polyatomic ion collisions // Nuclear Instruments and Methods in Phys. Res. 1997. V.B125. N 1/4. P.218-222.

107. Martin I.T., Fisher E.R. Ion effects on CF2 surface interactions during C3F8 and C4F8 plasma processing of Si //J. Vac. Sci. Technol. A. 2004. V.22. N 5. P.2168-2174.

108. Ohara J., Капо K., Takeuchi Y., Otsuka Y. Improvement of Si/Si02 mask etching selectivity in the new D-RIE process // MEMS-01. 2001. V. 1. P.76-79.

109. Chen K.-S., Ayon A.A., Zhang X., Spearing S.M. Effect of process parameters on the surface morphology and mechanical performance of silicon structures after deep reactive ion etching (DRIE) // J. of MEMS. 2002. V.l 1. N 3. P.264-274.

110. Craigie C.J.D., Sheehan Т., Johnson V.N., Burkett S.L., Moll A.J., Knowlton W.B. Polymer thickness effects on Bosch etch profiles // J. Vac. Sci. Technol. B. 2002. Y.20. N 6. P.2229-2232.

111. Kiihamaki J., Franssila S. Pattern shape effects and artefacts in deep silicon etching // J. Vac. Sci. Technol. A. 1999. V.17. N 4. P.2280-2285.

112. Chung C.-K., Geometrical pattern effect on silicon deep etching by a inductively coupled plasma system//J. Micromech. Microeng. 2004. V.14. P.656-662.

113. Морозов O.B., Амиров И.И. Аспектнонезависимое анизотропное травление кремния в плазмохимическом, циклическом процессе // Микроэлектроника. 2007. Т.36. № 5. С.380-389.

114. Lai S.L., Johnson D., Westerman R. Aspect ratio dependent etching lag reduction in deep silicon etch processes // J. Vac. Sci. Technol. A. 2006. V.24. N 4. P. 1283-1288.

115. Bailey III A.D., Gottscho R.A. Aspect ratio independent etching: Fact or fantasy? // Jpn. J. Appl. Phys. 1995. V.34. N 4B. P.2083-2088.

116. Puech M., Thevenoud J.M., Launay N., Godinat P., Andrieu В., Gruffat J.M. Achievements and perpectives of the DRIE for Microsystems // Proc. of SMART 2008 conference, at Barcelona, Spain, April 2008. Alcatel Vacuum Technology, France.

117. Rao M.P., Aimi M.F., MacDonald N.C. Single-mask, three-dimensional microfabrication of high-aspect-ratio structures in bulk silicon' using reactive ion etching lag and sacrificial oxidation // Appl. Phys. Lett. 2004. V.85. N 25. P.6281-6283.

118. Амиров И.И., Алов H.B. Формирование микроструктур на поверхности кремния во фторсодержащей плазме в циклическом процессе травление/пассивация // Химия высоких энергий. 2008. Т.42. N 2. С.164-168.

119. Konechika М., Sugimoto N., Mitsushima Y. Control of shape of silicon needles fabricated by highly selective anisotropic dry etching // J. Vac .Sci. Technol. B. 2002. V.20. N 4. P. 12981302.

120. Shieh J., Lin C.P., Yang M.C. Plasma nanofabrications and antireflection applications // J. Phys. D: Appl. Phys. 2007. V.40. N 8. P.2242-2250.

121. Stubenrauch M., Fischer M., Kremin C., Stoebenau S., Albrecht A., Nagel O. Black silicon— new functionalities in microsystems // J. Micromech. Microeng. 2006. V.16. N 6. P.S82-S87.

122. Lin G.-R., Lin C.-J., Kou H.-C. Improving carrier transport and light emission in a silicon-nanocrystal based MOS light-emitting diode on silicon nanopillar array // Appl. Phys. Lett. 2007. V.91.N9. 093122. P.l-3.

123. Sainiemi L., Keskinen H., Aromaa M., Luosujarvi L., Grigoras K., Kotiaho Т., Makela J.M., Fransila S. Rapid fabrication of high aspect ratio silicon nanopillars for chemical analysis // Nanotechnology. 2007. V.18. N 50. P.2346-2352.

124. Gharghi M., Sivoththaman S. Formation of nanoscale columnar structures in silicon by a maskless reactive ion etching process // J. Vac. Sci. Technol. A. 2006. V.24. N 3. P.723-727.

125. Dussart R., Mellhaoui X., Tillocher Т., Lefaucheux P., Volatier M., Socquet-Clerc C., Brault P., Ranson P. Silicon columnar microstructures induced by an SFg/02 plasma // J. Phys. D: Appl. Phys. 2005. V.38. P.3395-3402.

126. Сегалович В.И., Васильев О.А., Шумилов A.C. Гравитационная и магнитная томография сплошных геологических сред // Разведка и охрана недр. 2003. № 4. С.27-32.

127. Работы автора по теме диссертации

128. А2. I.Amirov, M.Izyumov, O.Morozov, A.Shumilov. Formation of micro- and nanostructures in Si and Si02 using plasma etching and deposition process. Abstracts. International Conference "Micro-and nanoelectronics -2003" Moscow, Zvenigorod,Russia. P.02-50.

129. A5. Шумилов A.C., Амиров И.И. Моделирование формирования глубоких канавок в Si в плазмохимическом, циклическом травление/пассивация процессе. // Микроэлектроника. 2007. Т.36. № 4. С.277-287.

130. А6. Шумилов А.С., Амиров И.И., Лукичев В.Ф. Моделирование эффектов формирования глубоких с разным профилем канавок в кремнии в плазмохимическом, циклическом процессе. // Труды V Международного Симпозиума по плазмохимии. Иваново. 2008. С.285-289.

131. Литература по компьютерной графике

132. КГ1. Роджерс Д. Алгоритмические основы машинной графики. М.: Мир, 1989. - 512с КГ2. Выгодский М.Я. Справочник по высшей математике. - 13-е изд., стер. - М.:

133. Физматлит, 1995, 872 с. КГЗ. Котов И.И. Алгоритмы машинной графики. - М.: Машиностроение, 1977.- 231 с.

134. КГ4. Павлидис Т. Алгоритмы машинной графики и обработки изображений. М.: Радиосвязь, 1986.- 398 с.

135. КГ5. Шикин Е.В., Боресков A.B. Компьютерная графика. Полигональные модели. М.: ДИАЛОГ-МИФИ, 2000. - 464 с.

136. КГ6. Вельтмандер П. В. Учебное пособие «Основные алгоритмы компьютерной графики» МАШИННАЯ ГРАФИКА (Учебное пособие в 3-х книгах) Книга 2. Новосибирский государственный университет, 1997.

137. КГ7. Порев В.Н. Компьютерная графика. СПб.: БХВ-Петербург, 2002, - 432 с.

138. КГ8. Тихомиров. Ю. Программирование трёхмерной графики. СПб.: БХВ-Петербург, 2001,-256 с.

139. КГ9. Шикин Е.В., Боресков A.B. Компьютерная графика. Динамика, реалистические изображения. М.: ДИАЛОГ-МИФИ, 1995.-288с.

140. КГ10. Базаева С.Е., Бетелин В.Б., Грюнталь А.И., Романюк С.Г. Технология разработки диалоговых графических систем. М.: Наука, 1992, - 207 с.

141. КГ11. Ласло М., Вычислительная геометрия и компьютерная графика на С++. М.: БИНОМ, 1997.-301 с.1. Электронные ресурсы

142. И1. Институт прикладной математики (ИПМ им. М.В.Келдыша РАН) http://www.applmat.ru/

143. И2. Математические алгоритмы и численные методы http://algolist.manual.ru/

144. ИЗ. Научно-исследовательский вычислительный центр Московского государственного университета им. М.В. Ломоносова, http://www.srcc.msu.su/

145. И4. Марийский государственный технический университет http://www.marstu.mari.ru: 8101

146. И5. Moving Interfaces And Boundaries. Level Set Methods And Fast Marching Methods J.A. Sethian http://math.berkeley.edu/~sethian

147. И6. Process Evolution, Ltd. http://www.process-evolution.com

148. И7. Stanford Profile Emulator for Etching and Deposition in 1С Engineering (SPEEDIE) http://www-tcad. stanford.edu/tcad/iedm/hetero/sp eedie. html

149. И8. AnisE — Anisotropic etch simulatorhttp://www.intellisensesoftware.com/modules/Anise.html

150. И9. RECIPE RIE/ICP etch simulatorhttp://www.intellisensesoftware.com/modules/RECIPE.html

151. И10. Introducing IntelliEtch Well Designedhttp://intellisense.wordpress.com/2008/09/16/introducing-intellietch/

152. И13. Terrain ver 1.3 Reference Manual (Avant!). http://www.avanticorporation.com/

153. И14. Profile Simulations of Plasma Etching Processes. http://www.tu-ilmenau.de/fakei/Process-simulation.7419.0.html?&L-l

154. И15. Live help with OpenGL programming http://nehe.gamedev.net/ Live help with OpenGL programming http://nehe.gamedev.net/

155. И16. Компания UGLY SHOW NETWORKS по созданию игрового и прикладного математического программного обеспечения http://uglyshow.narod.ru/