автореферат диссертации по электронике, 05.27.01, диссертация на тему:Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением

доктора технических наук
Лосев, Владимир Вячеславович
город
Москва
год
2014
специальность ВАК РФ
05.27.01
Автореферат по электронике на тему «Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением»

Автореферат диссертации по теме "Теория и методы создания элементной базы интегральных адиабатических цифровых устройств с предельно малым энергопотреблением"

На правах рукописи

ЛОСЕВ ВЛАДИМИР ВЯЧЕСЛАВОВИЧ /

и '

ТЕОРИЯ И МЕТОДЫ СОЗДАНИЯ ЭЛЕМЕНТНОЙ БАЗЫ ИНТЕГРАЛЬНЫХ АДИАБАТИЧЕСКИХ ЦИФРОВЫХ УСТРОЙСТВ С ПРЕДЕЛЬНО МАЛЫМ ЭНЕРГОПОТРЕБЛЕНИЕМ

05.27.01 - Твердотельная электроника, радиоэлектронные компоненты, микро-и наноэлектроника, приборы на квантовых эффектах

АВТОРЕФЕРАТ диссертации на соискание учёной степени доктора технических наук

1 й сент

Москва 2014

005552480

Работа выполнена на кафедре интегральной электроники и микросистем в Национальном исследовательском университете «МИЭТ»

Научный консультант: член-корреспондент. Российской академии

Немудрое Владимир Георгиевич, доктор технических наук, профессор, генеральный директор ОАО «НИИМА «Прогресс»;

Коноплев Борис Георгиевич, доктор технических наук, профессор, профессор кафедры конструирования электронных средств, Института нанотехнологий, электроники и приборостроения, Южного федерального университета.

Петросянц Константин Орестович, доктор технических наук, профессор, заведующий кафедрой электроники и наноэлектроники Федерального государственного автономного образовательного учреждения высшего профессионального образования «Национальный исследовательский университет «Высшая школа экономики».

Ведущая организация: Физико-технологический институт Российской академии наук (ФТИАН)

Защита состоится «07» октября 2014 г. в 14 часов 30 минут на заседании Диссертационного Совета Д 212.134.01 по присуждению ученых степеней при Национальном исследовательском университете «МИЭТ» по адресу: Москва, 124498, МИЭТ.

С диссертацией можно ознакомиться в библиотеке и на сайте МИЭТ www.miee.ru.

Автореферат разослан «0Л » ¿¿«ТМлХ2014 г.

Ученый секретарь

наук, доктор технических наук, профессор Чаплыгин Юрий Александрович

Официальные оппоненты:

диссертационного совета д.т.н, профессор

Т.Ю. Крупкина

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ Актуальность: Повышение энергоэффективности электронных устройств является одной из приоритетных задач современной микроэлектроники. На текущий момент потребляемая мощность является сдерживающим фактором развития, как высокопроизводительных микроэлектронных устройств, так и мобильных устройств с автономным питанием. Несмотря на интенсивное применение традиционных методов снижения потребляемой мощности проблема энергопотребления является доминирующей. Так, например, по прогнозам международной.ассоциации производителей полупроводников (ГТЯБ) энергия, приходящаяся на одну логическую операцию, должна будет составлять менее 1 аДж уже к 2020-м годам. Следовательно, закон Мура, которому подчиняется развитие микроэлектроники, может быть нарушен раньше 2020 года, а после 2030 годов наступит эра квантовых процессоров, для развития которых должны быть сформулированы новые закономерности. Исходя из этого, можно утверждать, что применение традиционных конструктивно-технологических методов снижения потребляемой мощности исчерпает себя примерно к 2030-м годам. Таким образом, ограничение развития цифровых микроэлектронных устройств обусловлено тремя основными причинами: 1) повышение степени интеграции цифровых БИС и плотности упаковки их элементов ограничивается возможностями теплоотвода, стоимость которого становится превалирующей, а возможности приближаются к теоретическому пределу; 2) в портативной электронной аппаратуре, работающей от автономных источников питания (универсальных и специализированных микропроцессорных системах, бортовых и мобильных телекоммуникационных устройствах, имплантируемых кардиостимуляторах и системах идентификации, бытовой электронной аппаратуре), существует проблема альтернативы между приемлемым сроком работы батарей или аккумуляторов, а также их весом и габаритами; 3) охрана окружающей среды требует снижения нагрузки на си-

стемы кондиционирования и вентиляции, что, по-видимому, в ближайшем будущем приведет к установлению 15-амперного стандарта на рабочих станциях.

Одним из приоритетных направлений современной микро- и наноэлектро-ники является проектирование сверхмикромощных устройств производства и обработки информации (Low Power Design - LPD), охватывающее широкий круг методов снижения мощности, потребляемой интегральными схемами от источников питания. Методы LPD включают совершенствование технологии (снижение паразитных емкостей за счет уменьшения размеров и снижение питающих напряжений за счет уменьшения пороговых напряжений), оптимизацию топологических размеров, разработку энергетически эффективной архитектуры, создание новых активных элементов, а также использование новых принципов обработки (производства) информации (адиабатическая или

термодинамически обратимая логика).

Последнее и наименее исследованное направление основано на возможности возврата в систему энергии, затраченной на производство информации, и ее повторного использования для последующих вычислений. Актуальность этого направления определяется чрезвычайно высокими предельными возможностями снижения энергопотребления. Практическая реализация адиабатических устройств производства информации требует создания не только соответствующей элементной базы (адиабатических базовых логических вентилей), но и адиабатических источников питания. Исследованию методов ре шения этих задач посвящена настоящая диссертация.

Следовательно, актуальность темы диссертационной работы обусловлен необходимостью разработки научных основ построения и проектировани интегральных цифровых устройств обработки информации на основе прин ципа термодинамической обратимости.

Цель работы состоит в разработке научных основ создания элементной базы с предельно низким энергопотреблением на основе принципа термодинамической обратимости для построения интегральных цифровых устройств обработки информации, выполненных по традиционной полупроводниковой технологии.

Задачи исследования: Для достижения поставленной цели необходимо решить следующие задачи:

1) проанализировать и систематизировать методы построения адиабатических устройств обработки информации и источников питания на современном технологическом уровне;

2) разработать классификацию методов построения адиабатических логических вентилей, источников питания, а также способов авторегулировки мощности подкачки и выявить наиболее перспективные варианты;

3) разработать методики оценки энергетической эффективности адиабатических логических вентилей и источников питания, а также методики определения их параметров;

4) установить и обосновать закономерности энергопотребления логических вентилей и источников питания;

5) исследовать схемотехнические методы совершенствования характеристик адиабатических логических вентилей;

6) разработать практические схемы адиабатических источников питания, включающие систему авторегулирования мощности подкачки;

7) исследовать характеристики адиабатических вентилей и адиабатических источников питания методами компьютерного моделирования;

8) подтвердить закономерности энергопотребления логических вентилей методом параметрической идентификации;

9) разработать рекомендации по использованию адиабатических логических вентилей и источников питания при проектировании устройств обработки информации.

Научная новизна работы состоит в следующем:

1. Проведена классификация методов построения адиабатических базовых логических вентилей и источников питания, а также методов авторегулировки мощности подкачки в адиабатических драйверах шин питания, обеспечивающая возможности определения наиболее перспективных вариантов и целенаправленного поиска методов их совершенствования.

2. Предложена методика определения предельной степени адиабатичности базовых логических вентилей, заключающаяся в определении работы, совершенной над вычислительной системой, в системе обобщенных координат

напряжение—заряд диаграмма).

3. Установлены и научно обоснованы закономерности энергопотребления в наиболее перспективных адиабатических базовых логических вентилях и адиабатических источниках питания, описываемые показательной функцией.

4. Обнаружен и объяснен эффект аномально высокого энергопотребления в наиболее перспективных типах адиабатических вентилей 2п-2п2р и ЕСЯЬ. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализм

ции.

5. Проведено исследование и показано, что динамические характеристики вентилей 2п-2п2р и ЕСЯЬ улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности. Установлено, что для заданных значений емкости линий связи и ширины каналов п(или р)-канальных транзисторов логического дерев существует оптимальное значение ширины каналов р(или п)-канальны нагрузочных транзисторов, при котором энергетические потери минимальны.

6. Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только п-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

7. Проведено исследование и показано, что повышение скорости и энергетической эффективности адиабатических устройств производства информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа.

8. Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

9. Разработана методология проектирования адиабатических источников питания, позволяющая произвести обоснованный выбор параметров цепи импульсной подкачки мощности в адиабатических источниках питания резонансного типа, а также параметров переключающих транзисторов в адиабатических источниках питания на основе ступенчатого перезаряда напряжения нагрузочной емкости.

Практическая значимость работы:

1) Результаты работы создают основу для разработки энергетически эффективной элементной базы устройств производства и обработки информации с ограниченными ресурсами мощности и габаритами, в частности, для универсальных и специализированных микропроцессорных систем, бортовых и мобильных телекоммуникационных устройств, систем идентификации, имплантируемых кардиостимуляторов, бытовой электронной аппаратуры.

2) Разработанные способы организации адиабатических источников питания могут найти применение в микроэлектронных устройствах, содержащих информационные шины большой емкости (формирователях тактовых им-

пульсов цифровых БИС и УБИС синхронного типа, формирователях импульсов выборки БИС и УБИС ЗУ, формирователях тактовых импульсов ПЗС и др.), обеспечивая существенное снижение суммарного энергопотребления.

3) Установленные закономерности энергопотребления логических вентилей и источников питания позволяют определить целесообразность и эффективность их применения на ранних стадиях проектирования устройств производства информации.

4) Предложенный в работе новый тип логического вентиля позволяет реализовать адиабатические блоки цифровых устройств производства информации в виде микросхем с высокой плотностью компоновки, содержащих только п-канальные МОП-транзисторы.

5) Предложен новый способ построения адиабатических источников питания резонансного типа на основе кольцевого генератора, обеспечивающий автоматическую синхронизацию выходных импульсов для произвольного числа фаз и автоматическую регулировку длительности импульсов подкачки.

6) Предложен способ схемотехнической организации адиабатического базового логического вентиля на основе только п-канальных МОП-транзисторов, обеспечивающий повышение плотности компоновки.

Результаты диссертационной работы использованы в НИР, выполненных в МИЭТ, по заданию министерства образования и науки РФ, по программе «Развитие научного потенциала высшей школы», а также в рамках выполнения комплексных проектов.

Работа поддержана грантами: министерства образования и науки; РФФИ; Президента РФ для поддержки молодых российских ученых-кандидатов наук

и ведущих научных школ.

Результаты работы использованы при выполнении хоздоговорных НИР в рамках ФЦП «Национальная технологическая база» на 2007-2011 годы, ФЦП

«Научные и научно-педагогические кадры инновационной России» на 20092013 годы.

Внедрение результатов работы:

Основные научные результаты диссертации использованы при выполнении следующих НИР:

- Исследование и разработка энергоэффективных и технологически независимых способов питания элементов ИС и систем на кристалле для устройств с пониженным энергопотреблением. ГК №П1318. 2010. Научный руководитель Лосев В.В.

- Исследование возможностей и методов создания термодинамически обратимых устройств обработки информации. Отчет о НИР - МИЭТ. Шифр 870-ГБ-Б-53-ИЭМС. М. 1998. № ГР. 01980005571. Научный руководитель Старосельский В.И.

- Разработка методов построения реверсивных логических СБИС. Отчет о НИР - МИЭТ. Шифр 827-ГБ-П-54-ИЭМС. М. 1998. № ГР. 01970009549. Научный руководитель Старосельский В.И.

- Принципы построения микроэлектронных термодинамически обратимых устройств обработки информации. Отчет о НИР - МИЭТ. Шифр 9-ГБ-Б-53-ИЭМС. М. 1999. Научный руководитель Старосельский В.И.

- Методы построения элементной базы термодинамически обратимых устройств производства информации. Отчет о НИР - МИЭТ. Шифр Иволга. М. 2000. № ГР 01200008744. Научный руководитель Старосельский В.И.

- Разработка элементной базы цифровых устройств обработки информации со сверхмалым энергопотреблением на основе принципа термодинамической обратимости. Отчет о НИР - МИЭТ. Шифр 324-ГБ-53-Э-ИЭМС. М. 2002. № ГР 01200106740. Научный руководитель Старосельский В.И.

- Исследование новых способов энергопитания цифровых УБИС — этап 2002 г. Отчет о НИР - МИЭТ. Шифр Иволга-2. М. 2002. № ГР 01200207900.

Научный руководитель Старосельский В .И.

- «Разработка методов проектирования быстродействующей элементной базы и систем на кристалле с низкой потребляемой мощностью для перспективных устройств приема/передачи аналоговой и цифровой информации». ГК №02.740.11.0012. 2010 Научный руководитель Чаплыгин Ю.А.

- «Исследование методов проектирования наноразмерной электронной компонентной базы на основе параметризованных библиотечных элементов с ультранизкой потребляемой мощностью». ГК №11511. 2011. Научный руководитель Крупкина Т.Ю.

- «Исследование и разработка энергоэффективных микроэлектронных цифровых устройств на основе принципа регенерации избыточной энергии». ГК № 16.740.11.0563. 2012. Научный руководитель Чаплыгин Ю.А.

Разработанные в диссертации способы управления шинами с большой емкостью используются ЗАО ППК «Миландр» при проектировании драйверов

контактных площадок (I/O pad) СБИС.

Материалы диссертационной работы используются в учебном процессе на кафедре интегральной электроники и микросистем в Национальном исследовательском университете «МИЭТ».

Результаты работы использованы при подготовке образовательного модуля профессиональной переподготовки «Энергоэффективное проектирование наноэлектронных ИС средствами САПР Cadence и Synopsys», разработанны образовательные материалы располагаются в Электронном реестре россий ских и зарубежных образовательных учреждений и учебных програм РОСНАНО.

Ппгтпиепность результатов Достоверность разработанных методов схемотехнических решений подтверждена результатами компьютерного мо

делирования с использованием апробированных моделей элементов, основные выводы базируются на общепринятых фундаментальных представлениях. Получен патент на изобретение РФ № 2184418 по заявке № 2001120069 от 19.07.2001.

На защиту выносятся:

1. Схемотехнические способы реализации адиабатических логических вентилей, различающиеся степенью адиабатичности. Показано, что:

- в классе асимптотически адиабатических базовых логических вентилей наилучшими характеристиками обладает вентиль типа 1п1р, использующий коллапсирующие импульсы питания;

- в классе квазиадиабатических базовых логических вентилей оптимальными являются статические вентили 2п-2п2р, а также динамические вентили ЕС11Ь и предложенные в работе вентили КАДЛ-п

2. Результаты комплексного исследования предельных характеристик и возможностей адиабатических логических вентилей. Установлено, что:

- предельную степень адиабатичности базовых логических вентилей целесообразно определять предложенным в работе методом У(}-диаграмм.

- реализация асимптотически бездиссипативной логики синхронного (конвейерного) типа на современном технологическом уровне нецелесообразна.

3. Зависимости энергии, рассеиваемой за цикл переключения в базовых логических вентилях 2п-2п2р и ЕСЯЬ от тактовой частоты /, суммарной емкости вентиля и нагрузки С, которые в рабочем частотном диапазоне имеют закономерность вида ]У ~ faCUa, где 0 < а < 1.

4. Рекомендации по совершенствованию частотных, динамических и энергетических характеристик адиабатических логических вентилей, а именно:

- частотные характеристики вентилей улучшаются при выполнении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности;

- повышение скорости и энергетической эффективности адиабатических устройств обработки информации может быть достигнуто за счет комбинации логических вентилей синхронного и асинхронного типа

5. Оптимальный способ построения адиабатических источников питания резонансного типа, основанный на использовании кольцевого генератора в сочетании с системой авторегулирования длительности импульса подкачки.

6. Устройство управления адиабатическим драйвером шин питания со ступенчатым перезарядом нагрузочной емкости.

Апрпбяпня работы: Основные положения и результаты диссертационной работы докладывались и обсуждались на Всероссийской научно-технической конференции "Микроэлектроника и информатика-99", (Москва, МИЭТ, 1997 г.), 7 Всероссийской межвузовской научно-технической конференции "Микроэлектроника и информатика-2000", (Москва, МИЭТ, 1997 г.), III международной научно-технической конференции "Электроника и информатика - XXI век". (Москва, МИЭТ, 2000 г.), Всероссийской научно-технической конференции "Микро- и наноэлектроника-2001" (Звенигород, 2001 г.), I Всероссийской научно-технической дистанционной конференции "Электроника" (Москва, МИЭТ, 2001 г.), IV Международной научно-технической конференции "Электроника и информатика-2002" (Москва, МИЭТ, 2000 г.), International Conference "Micro- and nanoelectronics - 2003" ICMNE-2003 (Moscow-Zvenigorod, Russia, 2003), International Conference "Micro- and nanoelectronics -2005" ICMNE-2005 (Moscow-Zvenigorod, Russia, 2005), IEEE Tenth International Conference and seminar on micro/nanotechnologies and electron device EDM'2009( Erlagol, Altai , 2009), International Conference "Micro- an nanoelectronics - 2009" ICMNE-2009 (Moscow-Zvenigorod, Russia, 2009), Меж

дународной научно-технической конференции и молодежной школы семинара «Нанотехнологии-2010», (Россия, Дивноморское, 2010), а также на научных семинарах ФТИАН и кафедры ИЭМС МИЭТ.

Публикации: По результатам работы опубликовано 12 статей, 19 тезисов докладов, 23 научно-технических отчета о НИР, патент на изобретение РФ № 2184418 по заявке № 2001120069 от 19.07.2001.

Личный вклад автора состоит в организации и постановке задач на исследования, непосредственном участии в их проведении, в анализе результатов исследований, в обобщении и обосновании всех защищаемых положений.

Во всех совместных работах автор участвовал в постановке задач, разработке методик исследования и технических решений, написании статей, докладов и патентов, а также представлял результаты исследований на научно-технических конференциях.

Результаты исследований, изложенные в главах диссертации, были полу-

чены при активном участии д.т.н., проф. |Старосельского В .И

Структура и объем диссертации . Диссертация состоит из введения, семи глав, заключения и списка используемой литературы. Диссертация изложена на 237 листах основного текста, содержит 91 рисунок и 19 таблиц к основному тексту, список литературы из 261 наименования.

СОДЕРЖАНИЕ РАБОТЫ Во введении обоснована актуальность темы диссертации, сформулирована цель работы и основные задачи, определена научная новизна работы и ее практическая значимость. Приводятся основные положения и результаты, выносимые на защиту, перечислены конференции и симпозиумы, на которых были апробированы основные результаты работы и ее научные положения.

R пепвой главе работы рассмотрены составляющие рассеиваемой мощности, основные виды мощности, а также причины, по которым рассеиваемая мощность увеличивается с уменьшением проектных норм.

Рассмотрены особенности процессов рассеивания мощности в современных цифровых ИС.

Приведены сведения о тенденциях в изменении уровня энергопотребления

современных цифровых ИС. Показано, что теоретический предел уровня энергопотребления схем, выполненных по традиционным технологиям достижим уже к 2020 годам, и разработка новых подходов к снижению уровня потребляемой мощности представляет научный и практический интерес.

Рассмотрены основные схемотехнические и структурные методы уменьшения рассеиваемой мощности, основанные на использовании традиционных технологий микроэлектроники: метод стробирования синхросигнала (clock gating), метод введения изоляционных элементов (operand isolation), метод ■ оптимизации набора элементов и их подключения (gate level optimization), метод использования элементов с различными пороговыми напряжениями (multi-Vth), метод введения различного напряжения питания (multi-Vdd), отключение питания у части схемы (power gating), адаптивное смещение подложки (adaptive body biasing), формирование многоуровневой системы транзисторов (transistor stacking).

Представлены результаты сравнительного анализа существующих методов и способов снижения потребляемой мощности в цифровых схемах. Показано, что в большинстве случаев методы не являются универсальными и фундаментальными, а решают частные задачи и применимы лишь в определенных

условиях.

Установлено, что сложность методов, направленных на снижение потребляемой мощности, с течением времени возрастает, однако эффективность применения методов падает. Это говорит о том, что несмотря на колоссаль-

ный прогресс в области разработки методов снижения энергопотребления, усложнение устройств обработки сопровождается увеличением общего энергопотребления, что ставит новые технологические и технические рубежи, преодоление которых становиться все труднее.

Приведен пример биологического компьютера, в котором на производство 1 бита информации расходуется энергия ~ 10'19 Дж. Искусственные компьютеры уступают этому показателю на 4...6 порядков.

Доказывается необходимость поиска, научного обоснования и развития нестандартных подходов к снижению потребляемой мощности, применение которых открывает долгосрочную перспективу в решении проблемы энергоэффективности вычислительного процесса, а соответственно, отвода тепла, что позволяет надолго продлить технологическую жизнь традиционной элементной базы, изготавливаемой в условиях освоенного полупроводникового промышленного производства.

На основании проведенного анализа существующих проблем в области создания элементной базы электронных устройств с низким энергопотреблением сформулирована цель и задачи диссертационной работы.

Во второй главе анализируется модель и свойства термодинамически обратимого обобщенного логического вентиля.

Показано, что универсальный термодинамически обратимый вентиль должен иметь следующие элементы:

1. Обобщенную пружину, способную сжиматься в одном или другом направлениях под действием обобщенной силы, поступающей из источника питания.

2. Ключ, определяющий направление установки логического состояния вентиля после приложения силы к пружине в зависимости от информации на его входах в соответствии с заданной таблицей истинности.

3. Канал связи, передающий информацию о состоянии предыдущих (управляющих) вентилей последующему (управляемому) вентилю.

Термодинамическая обратимость вентиля обеспечивается выполнением

следующих условий:

1. Наличие трех состояний обобщенной пружины: свободное (Я), 0 и 1.

2. Установка входной информации в свободном состоянии пружины.

3. Сохранение входной информации в течение всего цикла, включающего этап производства информации и этап извлечения в источник питания накопленной вентилем свободной энергии.

4. Деформация обобщенной пружины в условиях термодинамического

равновесия.

Установлено, что в вентилях, способных управлять собственными аналогами, производство информации всегда требует совершения работы, много большей, чем пересчитанная к единицам работы произведенная информация. Показано, что при соблюдении условий термодинамической обратимости, эта работа может быть возвращена в источник питания. Если не выполнено условие сохранения входной информации, часть совершенной работы неизбежно термализуется. При сохранении истории вычислений термали-зованная энергия может быть сделана сколь угодно малой.

Сформулированы и обоснованы основные положения характеризующие энергетику процесса производства информации:

I. Снижение энергии сигнала неизбежно снижает скорость производства информации.

II. Минимальная энергия, термализуемая при производстве информации логическим или запоминающим устройством, не зависит от предыдущего состояния системы.

цг =кТ\п2

III. Величина этой минимальной энергии составляет тш и достаточна для надежной установки системы в заданное состояние, если надлежащая деформация потенциалов производится в условиях термодинамического равновесия и может быть выполнена без рассеивания энергии, а единственным фактором, приводящим к энергетическим потерям, являются тепловые флуктуации.

IV. Энергия термализуется необратимо и не может быть возвращена в систему хотя бы частично и использована для производства новой информации, либо совершения полезной работы.

V. В отношении избыточной (большей ^тт) энергии процесс производства информации может быть реверсивным (термодинамически обратимым). Реверсивность, или предельное снижение избыточной энергии, достигаются за счет приближения процесса к термодинамически равновесному.

VI. Для предельного снижения энергетических потерь требование консервативности элементов не является принципиально необходимым. Требование логической реверсивности существенно только для компьютеров с консервативной логикой.

Рассмотрены основные типы вычислительных устройств, принцип работы которых основан на физической и логической обратимости (реверсивности).

Установлено, что наиболее перспективным вариантом практической реализации принципа термодинамической обратимости является электронная логика. Предложен метод оценки предельной эффективности электронной логики основанный на построении VQ-диaгpaмм.

В третьей главе анализируется состояние проблемы построения адиабатических устройств производства информации. Возможность бездиссипатив-ного производства информации доказана теоретически. Сформулированы требования к составу универсального адиабатического вентиля и условия,

обеспечивающие его термодинамически равновесное переключение: 1) наличие трех равновесных состояний; 2) установка входной информации в свободном состоянии; 3) сохранение входной информации в течение всего цикла;

4) отсутствие люфтов.

Наибольший практический интерес представляет реализация электронной адиабатической логики на основе традиционного элементного базиса микроэлектроники. В этом направлении достигнуты существенные результаты, подтвержденные машинными экспериментами и созданием демонстрационных образцов. Уже сейчас использование принципа термодинамической обратимости позволяет снизить энергетические потери на 1...2 порядка.

На основе анализа известных технических решений разработана классификация адиабатических логических вентилей по степени адиабатичности, принципу действия, способам выполнения условий адиабатичности, способам сохранения информации и режиму работы (рисунок 1). Для оценки предельной энергетической эффективности вентилей предложен и использован метод у<2- диаграмм. Предложенная классификация позволяет выделить наиболее перспективные решения и определить направления их возможного совершенствования.

Проблема создания адиабатических устройств производства информации осложняется необходимостью применения адиабатических источников многофазного импульсного питания (драйверов шин питания). Проведенный анализ известных решений позволил произвести их классификацию по принципу действия, способу подключения нагрузки и способу подкачки мощности (рисунок 2). Выделены наиболее перспективные варианты организации драйверов и направления их совершенствования .

[

ЭЛЕКТРОНАЯ АДИАБАТИЧЕСКАЯ ЛОГИКА

]

| АССИМПТОТИЧЕСКИ АДИАБАТИЧЕСКАЯ | | КВАЗИАДИАБАТИЧЕСКАЯ |

| СТАТИЧЕСКОГО^ТИПА^^^ ТИПА^)

| АССИМПТОТИЧЕСКИ АДИАБАТИЧЕСКАЯ ЛОГИКА 1

Способ реализации 3-х состояний

Коллапсирующие импульсы питания

Способ сохранения информации

Обратные вычисления

^ Асинхронны режим

Логически реверсивная

Конвейерный режим

Тип логики

| КВАЗИАДИАБАТИЧЕСКАЯ ЛОГИКА СТАТИЧЕСКОГО ТИПА (степень адиабатичности 2) |

Асинхронный режим работы

Тип логики

Конвейерный режим работы (запоминающий триггер, прямые и инверсные сигналы)

Режим работы

1п1р

2п-2п2р 4-фазное питание

САЬ 1-фазное питание

| КВАЗИАДИАБАТИЧЕСКАЯ ЛОГИКА ДИНАМИЧЕСКОГО ТИПА |

Способ предзаряда запоминающей емкости

Предзаряд запоминающей емкости через выпрямляющий элемент (степень адиабатичности 1)

Хранение информации на выходной емкости

1-фазное питание 4-фаэное питание

ЫЕЬ

Предзаряд запоминающей емкости через транзистор (степень адиабатичности 2)

Хранение информации на внутренней емкости

Л

Использование прямых и инверсных сигналов

Бугстрепный эффект при заряде выходной емкости (степень адиабатичности 0-3)

X

НСпМОБ 2 фазы питания

ЕСЩ, 4 фазы питания

Рисунок 1. Классификация электронной адиабатической логики.

АДИАБАТИЧЕСКИЕ ДРАЙВЕРЫ ШИН ПИТАНИЯ

Принцип действия

РЕЗОНАНСНЫЕ

СО СТУПЕНЧАТЫМ ПЕРЕЗАРЯДОМ ЕМКОСТНОЙ НАГРУЗКИ

С РЕЗОНАНСНЫМ ЬС КОНТУРОМ

Фиксированная

С ВОЛНОВОДНЫМ РЕЗОНАТОРОМ

Способ подключения нагрузки

\

Способ подкачки

Обратная 1 связь 1 Импульсная подкачка

Отключаемая нагрузка

На 2-тран-зисторном триггере

На 4-тран-зисторном триггере

Накопительные конденсаторы ~1

Электронная коммутация шин

На £С-контуре с импульсной подкачкой

С накопительными конденсаторами

С электронной коммутацией-шин

Тип драйвера

Рисунок 2. Классификация адиабатических драйверов шин питания по принципу действия, способу подключения нагрузки и способу подкачки мощности.

На основе проведенного анализа сформулированы основные задачи диссертационной работы:

1) разработать методики оценки энергетической эффективности адиабатических логических вентилей и источников питания, а также методики определения их параметров;

2) установить закономерности энергопотребления логических вентилей и источников питания;

3) исследовать схемотехнические методы совершенствования характеристик адиабатических логических вентилей;

4) разработать практические схемы адиабатических источников питания, включающие систему авторегулирования мощности подкачки;

5) исследовать характеристики адиабатических вентилей и адиабатических источников питания.

В четвертой главе исследуются закономерности энергопотребления и возможности улучшения характеристик наиболее перспективных квазиадиабатических вентилей 2п-2п2р и ЕСКЬ [1-5, 7-12] (рисунок 3, а,б).

Рисунок 3 Квазиадиабатические вентили 2п-2п2р (а) и ЕСКЬ (б).

Согласно принятым представлениям зависимость энергии, рассеянной в вентиле, за одну логическую операцию, от частоты / =со!2к имеет вид:

где первое слагаемое соответствует потерям при перезаряде с постоянной времени г емкости С от нуля до напряжения У<м (коэффициент зависит от формы импульсов), второе — потерям при отпирании транзисторов (V,- абсо-

(1)

лютное значение порогового напряжения, рсН- вероятность отпирания транзистора), а третье учитывает токи утечки (Р0 - мощность утечки).

Энергетические характеристики вентилей исследовались методом компьютерного моделирования с использованием программы схемотехнического моделирования Spectre в САПР Cadence®. Результаты моделирования, представленные на рисунке 4, показали, что полученные характеристики W(T, CL) имеют две особенности, не согласующиеся с соотношением (1):

1). На низких частотах наблюдается аномально высокое энергопотребление. Токи утечки, измеренные в статическом состоянии, дают примерно на 2 порядка меньшие потери и не могут объяснить этого эффекта. 2). В диапазоне

1 - CL = 20 фФ; 2 - CL = 100 фФ; 3 - CL = 200 фФ; 4 - CL= 20 фФ, C¡nt- 0; la - За - включены фиксирующие диоды; 16 - 46 - полуэмпирическое соотношение (2). Рисунок 4. Зависимость энергии, рассеянной в вентилях 2п-2п2р за цикл

1-1-0-0, от тактовой частоты.

Детальное изучение временных диаграмм показало, что 1-й эффект связан с протеканием короткого импульса сквозного тока через р-канальный транзистор СЬ и я-канальный транзистор 01 при переключении вентиля в логическое состояние V/ =1 ■ Предложен способ нейтрализации этого вредного эффекта, который состоит в фиксации минимального значения выходных напряжений на нулевом уровне с помощью транзисторов СЬ с минимальной шириной канала, работающих в диодном включении (рисунок 3). Эффективность этого решения подтверждают кривые 1а, 2а и За на рисунке 4.

Вторая отмеченная особенность объясняется тем, что соотношение (1) предполагает перезаряд емкости С через некоторое постоянное сопротивление открытых р-канальных транзисторов. Реально эти транзисторы работают при малых напряжениях сток-исток Ул, поэтому сопротивление канала определяется соотношением Я = М ¡3\Ур . Большая часть энергии в канале транзистора рассеивается во время, когда напряжение затвор-исток —> V,, и сопротивление канала велико. Практически напряжение не успевает

достигнуть порогового значения, но приближается к нему тем более, чем меньше частота тактовых импульсов f . Хорошее согласие с экспериментом достигается при замене в (1) произведения сот степенной функцией частоты: сот {со Де/С)а = {2л/Яе/С)а:

К =-У;ЛС1+Сы)[2л/Ке/{С1 +СШ1)Г +рс/!(С,+С1М)У,2 -Д, (2) к ]

где — эффективное значение суммарной внутренней емкости вентиля, Сь - значение нагрузочной емкости вентиля, Яе/ - эффективное сопротивление, не зависящее от частоты. Зависимости ИУ{/,С) , соответствующие соот-

ношению (2), показаны на рисунке 4 (линии 16 - 46). Значения параметров а, К/> Сп, и Р0, установленные по данным компьютерного эксперимента, приведены в таблице 1.

Таблица 1. Значения параметров а , Яе/, Сы и Р0 для вентилей 2п-2п2р иЕСЫ.

Тип вентиля а Яг/, кОм ОМ,ФФ Р0, пВт

2п-2п2р 0,75 3,90 170 11,4

ЕСКЬ 0,73 3,02 140 10,0

Для улучшения энергетических характеристик предложено использовать импульсы питания отрицательной полярности. В этом случае логическое дерево строится на /^-канальных транзисторах, а перезаряд емкости С1 + Сы осуществляется л-канальными транзисторами, эффективное сопротивление которых ниже.

В адиабатических вентилях транзисторы работают при малых напряжениях сток-исток, и эффекты, связанные с разогревом носителей заряда в канале, не проявляются. Это означает, что сопротивления Яе/ остаются обратно пропорциональными длине канала даже при малой длине канала. Таким образом, остается в силе классический закон масштабирования, согласно которому пропорциональное уменьшение топологических размеров ведет к квадратичному повышению частоты при заданном энергопотреблении.

Улучшение характеристик квазиадиабатических вентилей статического типа возможно за счет комбинации вентилей 2п-2п2р синхронного типа и вентилей 1п-1р асинхронного типа (рисунок 5). При этом существенно расширяются функциональные возможности вентиля, повышается эффективная тактовая частота и снижается среднее число элементов в вентиле.

V,

ф> Т-

У

VI., о

X,-.

И

06 V

—°1[р11 _

ъ

4= Нсрпф

С_I_

6 Х|

X;

х:

X :

х:

ЕСЫ.

№1-Ыр 1п-1р А—

нем.

Рисунок 5. Комбинация квазиадиабатических вентилей 2п-2п2р и 1п-1р.

Также в четвертой главе проведена разработка квазиадиабатического динамического базового вентиля на основе только п-канальных МДП транзисторов [1-4].

Логика КАДЛ-п (рисунок 6) отличается от ближайшего аналога (вентиль АБЬ) введением дополнительного изолирующего транзистора СЬ и системой тактовых импульсов. На рисунке 6 показана цепь инверторов КАДЛ-п (а) и

пример выполнения логического дерева для функции Г = (Х[ +Хг)Хл (б), а на рисунке 7а — временная диаграмма 3-фазных тактовых импульсов, включающих импульсы питания ф], ф2, фз и импульсы управления изолирующими транзисторами фи , ф!2, Фп Возможно также использование 2-фазных тактовых импульсов (рисунок 7, б), однако в этом случае на 25% снижается тактовая частота.

Шины управления изолирующими транзисторами фп не являются токове-дущими, и при достаточно медленном изменении тактовых напряжений перезаряд входных емкостей транзисторов С>1 осуществляется адиабатически.

Фп Фз Фа Ф2 Фи

а)

Рисунок 6. Квазиадиабатическая динамическая логика на п-канальных МДП транзисторах (КАДЛ-п) (а), логическое дерево (б).

£. /

фз, фп

а)

, г*", 2-»%__ . ,

<?2, 9211 б) ■

< \

\ \

7\.

Рисунок 7. Временные диаграммы тактовых импульсов, 3-х фазных (а) и 2-х фазных (б).

Главным преимуществом логики КАДЛ-п является использование только п-канальных МДП транзисторов, что существенно упрощает технологический процесс изготовления СБИС и уменьшает площадь вентиля на кристалле. Ее недостатками являются необходимость введения дополнительного изолирующего транзистора СЬ повышенное количество тактовых шин при 3-фазном питании и снижение частоты тактовых импульсов (1/6Т0 вместо 1/4Т0). Последний недостаток компенсируется более высоким быстродействием п-канальных транзисторов. Кроме того, логика КАДЛ-п не нуждается в шине

смещения подложек р-канальных транзисторов. Применение нулевой шины ("земли") также не является необходимым, поскольку нагрузочные емкости представляют собой емкости между истоками МДП-транзисторов и общей подложкой. Из 6 шин 3 не являются токоведущими и могут иметь минимально возможную ширину.

Работоспособность логических вентилей КАДЛ-n проверялась компьютерным моделированием, подтвердившим правильное функционирование 2-входовых логических вентилей NOR и NAND при всех комбинациях входных сигналов.

Пятая глава посвящена исследованию закономерностей энергопотребления в асимптотически адиабатических базовых логических вентилях [9—13].

Анализировались энергетические характеристики асимптотически адиабатических вентилей \n-\p (рисунок 8, а), в которых наличие трех логических состояний обеспечивается использованием "расщепленного" питания (рисунок 8, а), а установка входной информации в свободном состоянии и сохранение входной информации в течение всего цикла — применением коллапси-рующих импульсов питания.

Помимо схемной простоты вентиль \n-\p интересен тем, что на его примере можно проследить возрастание энергетических потерь при нарушении каждого из условий термодинамической обратимости.

Скорость производства информации может быть повышена за счет уменьшения степени перекрытия д = ДГ/Гфаз активации - дезактивации соседних вентилей. На рисунке 9 кривыми 1-1 а,б представлены зависимости W(T) для случая 5 — 1, когда форма импульсов питания соответствует рисунку 8, а.

+Ки/2 О

9 +Ф 9

1

о -

-Ум/2

У

тг

X

ТхТ^

- ф, - фг

б)

а)

Цикл логической операции Тс

ДГ3 = ДГ

4:

Ф2

IX

1ч.

дг,=д г

тч

7\ = Г Г2/ Т, = Т

в)

Тъ=Т

Рисунок 8 Адиабатическая логика с расщепленным питанием на КМДП вентилях 1п-1р: а - инвертор 1п-1р; б - цепь логических вентилей; в - временная диаграмма

ИМГРХВчПейобласти, где вклад токов утечки мал, зависимости ЩГ) хорошо подчиняются закономерности \У{Т) ~ Га , где а = 0,90...0,95 близок к теоретически достижимому значению а =1. Это объясняется слабым изменением напряжения затвор-исток открытого транзистора на этапах активации и дезактивации, в результате чего сопротивление канала практически линейно. При уменьшении параметра 6 энергетические потери возрастают вследствие повышения среднего сопротивления открытого транзистора и увеличения его нелинейности. В случае, когда величина 5 меньше критического значения^ = 2У1/¥М, нарушаются условия адиабатичности 2-4; дальнейшее

уменьшение 5 ведет к быстрому возрастанию энергетических потерь (рис. 10).

1

Ю-1

ю-2

10"

1 10 102 103 104 105 Г, не

Рисунок 9. Зависимость энергии, рассеянной в вентилях 1п-1р за цикл 1-1-0-0, от длительности этапов активации и дезактивации Т: I - - расщепленное питание, II - 1-полярное питание; 1 - 8 = 1, 2 - 5 = 0; а-С£= 20 фФ, б - С£= 200 фФ.

Рисунок 10. Изменение энергетических потерь в вентилях 1п-1р при уменьшении степени перекрытия фаз активации - дезактивации соседних вентилей для Т- 0,1 мкс: I - - расщепленное питание, II - 1-полярное питание; а - C¿= 20 фФ, б - CL= 200 фФ.

Предельный случай полного перекрытия фаз активации и дезактивации соседних вентилей (5 = 0) соответствует отказу от применения коллапсиру-

ющих импульсов питания (рисунок 11,6).

Главная сложность практической реализации асимптотически адиабатической логики \n-\p состоит в создании адиабатического источника расщепленных коллапсирующих импульсов питания. Отказ от использования коллапси-рующих импульсов существенно упрощает систему питания, но при этом энергетические потери возрастают в 4,5...5,2 раз. В этом случае целесообразно отказаться и от использования расщепленных импульсов питания, т.к. дополнительное увеличение потерь не превышает 60 %.

В таблице 2 приведено сравнение энергетических характеристик асимптотически адиабатических вентилей \n-\p и квазиадиабатических вентилей 2п-2п2р, ЕСЯЬ для тех же условий. Асимптотически адиабатическая логика 1л-1 р характеризуется в 13...25 раз меньшими энергетическими потерями. При максимальном упрощении системы питания (1-полярные импульсы равной длительности) энергопотребление возрастает примерно в 7 раз. Тем не менее, оно остается в 2.6...3 раза меньшим, чем в логике 2п-2п2р и вдвое меньшим, чем в ЕСЫ,. Логика \n-\p не требует одновременной обработки прямых и инверсных логических сигналов. Главным ее недостатком является невозможность работы в конвейерном режиме.

б)

г)

Рисунок 11. Форма импульсов ф„., и ф„ для расщепленного (а, б) и 1-полярного (б,в) питания: а, в - 5 = 1, б, г - 8 = 0.

Таблица 2. Сравнение энергетических характеристик асимптотически адиабатических вентилей \n-\p и квазиадиабатических вентилей 2п-2п2р, ЕСЛЬ

Характеристика Система питания и тип вентиля

Расщепленное питание, коллапси-рующие импульсы (8 = 1) 1-полярные импульсы равной длительности (8 = 0) 4-фазные 1-полярные импульсы равной длительности (8, = 1,5з = 0)

\n-\p (5 = 1) 1л-1р (8= 0) 2п-2п2р ЕС11Ь

Невыполненные условия адиабатичности 1 -4 2-5 2-5

тт., Съ = 20 фФ 1 7,14 24,5 15,4

С,. =200 фФ 1 6,82 17,6 13,3

а 0,90...0,95 0,67... 0,75 -0,75 -0,75

В шестой главе исследуются возможности создания и основные характеристики адиабатических источников питания со ступенчатым перезарядом нагрузочной емкости [6, 9, 13]. Энергетическая эффективность таких драйве-

ров повышается с увеличением числа ступеней перезаряда Ы51. Если

Nsl < Иф (где Иф — число фаз питания), драйвер может быть построен без

использования каких-либо реактивных элементов. Число ступеней перезаряда Ы5, = пЫф, кратное числу фаз питания, может быть получено за счет введения

дополнительных накопительных конденсаторов. Необходимое число накопительных конденсаторов при этом составляет Ыс = - Л^ =(п — .

Энергетические потери складываются из потерь в сопротивлениях ключей, осуществляющих коммутацию шин питания, и потерь при неадиабатическом перезаряде их входных емкостей. В работе исследованы способы управления ключами, и показано, что отношение минимальной суммарной входной емкости ключей С51 к суммарной емкости нагрузки составляет

Ся + Мп/МР)

Са г£(/г//-1)

1 +

2(1-2 !Иф)

1п[2(1 -1 / Л^)] +

{п-\)Ыф \-2lnN„

1п[2(1-1/>ВД

где г

50

— собственная постоянная времени и-канальных транзисторов, т1 = — постоянная времени нагрузки, а /т ~И6пЫфть — предельная

частота драйвера. При этом суммарные энергетические потери определяются соотношением

1 тет(1 + М„/МР)

Ж-

пМф г,(/г//-1)

1+ 1п[2(1-1/ЛГ,)3 +

2(1-2/ ТУ.)

2ЫЛп-\)

1п[2(1-1/иВД

1-2/иЛГ,

где иг_энергия, потребляемая обычным (неадиабатическим) драйвером за

период.

В качестве примера методом компьютерного моделирования детально исследованы свойства 4-х фазного драйвера со ступенчатым перезарядом нагрузочных емкостей, не содержащего реактивных элементов. Разработана схема управления драйвером, определены оптимальные топологические размеры ее элементов и получены временные диаграммы управляющих сигналов и импульсов питания, подтверждающие правильность работы драйвера. Результаты моделирования, представленные в таблице 3, показывают, что применение адиабатического драйвера сокращает энергетические потери до 0,37 при предельно достижимом значении Жи/А'ф = 0,25 1У0х-

Таблица 3. Энергетические потери в составных частях драйвера (нДж).

Суммарные Сопротивления ключей Входные емкости ключей Устройство управления

2,638 2,075 0,312 0,563 7,200

Седьмая глава посвящена исследованию драйверов шин питания резонансного типа [б, 9, 13], обеспечивающих максимальный коэффициент полезного действия. Ключевым вопросом при проектировании таких драйверов является оптимальная организация системы подкачки мощности в резонансный ЬС контур. Подкачку энергии целесообразно производить с помощью ключа, при замыкании которого на время ДТ контур потребляет энергию от источника питания. Показано, что близким к оптимальному является режим полной подкачки, при котором амплитуда колебаний в контуре под действием импульса подкачки достигает напряжения питания Е\ при этом фазовая длительность импульса подкачки соответствует углу полной подкачки А<рЕ = со0АТе .

Проведенный анализ позволил установить связь между углом полной подкачки, начальной фазой подкачки ср0, сопротивлением ключа и параметрами колебательного контура (волновое сопротивление р и добротность £)): А срЕ*а^1рВГ™-ь°, (4)

где а и Ъ — константы, зависящие от начальной фазой подкачки. С помощью уравнения (4) может быть установлена энергия ¡У/ь„, рассеянная в сопротивлении ключа за период:

* А!Г ■ • (2 - т / А<рЕ)/,

где АIV— потери в контуре за период; г| = 3,32; Л,=1.16. Показано, что при заданных значениях К^ / р и £> минимальный угол подкачки и также минимальные потери в ключе обеспечиваются при условии ф0= 0.

Для реализации преимуществ драйвера резонансного типа необходимо

решить ряд проблем, связанных с обеспечением настройки частоты, синхронизации фаз выходных импульсов, а также автоматической регулировки длительности импульсов подкачки. Оптимальным решением представляется использование кольцевого генератора (КГ). Структурная схема резонансного драйвера на основе КГ представлена на рисунке 12.

У„1

САР

Ф1

НС1

II

САР

У„г

—1С 1

ф2

■*■ САР —|[_ . 1* ■

Рисунок 12. Структурная схема резонансного драйвера с авторегулировкой длительности импульсов подкачки.

Авторегулировка длительности импульсов подкачки обеспечивается системой авторегулирования (САР), включающей три компаратора уровней напряжения С5, САи СР, генератор пилообразного напряжения ГПН, логический блок ЛБ, а также интегрирующий усилитель ИУ (рисунок 13).

Рисунок 13. Структурная схема системы авторегулирования САР.

Принцип действия резонансного драйвера на основе КГ и предложенна методика его проектирования проверялись компьютерным моделирование

на поведенческом уровне. Результаты моделирования подтвердили высокие характеристики драйвера. Форма импульсов питания практически не подвергается искажениям под действием импульсов подкачки. При изменении добротности контура от 15 до 3 амплитуда выходных импульсов изменяется не более, чем на 7%. Энергетические потери в сопротивлении ключей при г = 1,5 остаются достаточно малыми (около 10% от энергии подкачки), а частота колебаний практически соответствует резонансной частоте контура.

ВЫВОДЫ И ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

Общим результатом диссертационной работы являются разработанные научные основы создания новой элементной базы с предельно низким энергопотреблением для построения интегральных цифровых устройств обработки информации, в которых радикальное снижение энергопотребления достигается за счет использования принципа термодинамической обратимости. Результаты работы базируются на обобщении и развитии теории, а также на разработке практических технических решений для построения адиабатических устройств обработки информации.

Объектом исследований являлись адиабатические базовые логические вентили и адиабатические источники питания (драйверы шин питания) на основе традиционных электронных приборов - МДП и биполярных транзисторов.

В процессе разработки получены следующие результаты:

1. Разработана классификация адиабатических базовых логических вентилей и драйверов шин питания, позволяющая выделить наиболее перспективные технические решения и осуществить целенаправленный поиск новых способов их совершенствования.

2. Для оценки предельной энергетической эффективности базовых логических вентилей предложен метод К^-диаграмм.

3. Показано, что на современном технологическом уровне реализация асимптотически адиабатической логики конвейерного типа нецелесообразна. Наиболее перспективными являются квазиадиабатические базовые логические вентили 2п-2п2р (статического типа) и ЕСЯЬ (динамического типа).

4. Исследованы энергетические характеристики наиболее перспективных квазиадиабатических базовых логических вентилей. Обнаружен эффект аномально высокого энергопотребления в диапазоне низких частот. Показано, что этот эффект связан с протеканием короткого импульса сквозного тока при смене логического состояния и предложен метод его нейтрализации.

5. Установлены закономерности энергопотребления базовых логических вентилей и найдены численные значения характеризующих их параметров.

6. Показано, что частотные характеристики вентилей улучшаются при построении логического дерева на р-канальных транзисторах и использовании импульсов питания отрицательной полярности, а энергетические потери могут быть минимизированы за счет оптимизации ширины каналов нагрузочных транзисторов. Повышение скорости и энергетической эффективности может быть достигнуто за счет комбинации логических вентилей синхронного и

асинхронного типа.

7. Установлено, что в асимптотически адиабатических вентилях 1п-1р на основе КМДП транзисторов зависимость энергопотребления от длительности этапов активации и дезактивации подчиняется закону ¡V ~ Та, где а я 1. При одинаковых условиях вентили 1п-1р характеризуются в 13...25 раз меньшим энергопотреблением по сравнению с квазиадиабатическими вентилями 2п-2п2р или ЕСКЬ и не требуют одновременной обработки прямых и инверсны логических сигналов. Быстродействие логики 1п-1р может быть увеличено з счет частичного перекрытия фаз активации - дезактивации соседних венти лей. Предельное упрощение системы питания приводит к увеличению энерге тических потерь примерно в 7 раз.

8. Предложен новый тип квазиадиабатического базового логического вентиля динамического типа (КАДЛ-п), который может быть реализован только на п-канальных МДП транзисторах и обладает повышенной помехоустойчивостью. По энергетической эффективности вентили КАДЛ-п уступают вентилям 2п-2п2р и ЕСЛЬ. Их быстродействие может быть повышено за счет использования в качестве выпрямляющих элементов диодов Шоттки с минимальными топологическими размерами.

9. Исследованы основные характеристики драйверов шин питания со ступенчатым перезарядом нагрузочной емкости для наиболее общего варианта его построения. Получены аналитические соотношения, позволяющие определить важнейшие свойства ключей и их влияние на энергетические и топологические характеристики устройства. Установленные закономерности позволяют обоснованно выбрать основные параметры драйвера.

10. Исследованы основные характеристики драйверов шин питания резонансного типа. Обоснован выбор начальной фазы подкачки, а также сопротивления ключа в близком к оптимальному режиме полной подкачки. Показано, что автоматическое равенство частоты импульсов подкачки резонансной частоте контура, синхронизация выходных импульсов для произвольного числа фаз, а также возможность автоматической регулировки длительности импульсов подкачки могут быть обеспечены путем выполнения драйвера резонансного типа по схеме кольцевого генератора. Разработана структурная схема и методика проектирования 4-фазного драйвера на основе кольцевого генератора с системой авторегулирования угла подкачки.

Полученные результаты могут быть использованы при создании устройств производства информации со сверхмалым энергопотреблением. Адиабатические драйверы шин питания могут также применяться в традиционных устройствах обработки информации для перезаряда шин большой емкости

(шин тактовых импульсов, шин выборки ЗУ, шин питания ПЗС, контактные

площадки СБИС и др.).

Таким образом, в результате выполненных исследований научно обоснован и практически реализован новый способ построения элементной базы ИС, обеспечивающий предельное снижение энергопотребления в цифровых устройствах обработки информации. Использование этого способа позволяет снизить энергетические потери цифровых схем, выполненных на основе традиционной элементной базы, на 1...2 порядка при практически неизменной

скорости обработки информации.

Результатом диссертации является и изменение традиционного взгляда на проблему потребляемой мощности, основанного на рассмотрении исключительно схемотехнических и/или технологических методов ее снижения, эффективность которых снижается с увеличением степени интеграции.

Важным является и то, что применение новых способов построения интегральных вычислительных устройств открывает долгосрочную перспективу в решении проблемы энергоэффективности вычислительного процесса, а соответственно отвода тепла, что позволяет надолго продлить технологическую жизнь традиционной элементной базы, изготавливаемой в условиях освоенно го полупроводникового промышленного производства.

Пг.новные результаты диссертации опубликованы в следующих работах.

1. Лосев В.В., Старосельский В.И. Новая квазиадиабатическая динамиче екая логика на п-канальных МДП транзисторах. Микроэлектроника

2002, т. 31, № 3, с. 170-176.

2. Лосев В.В., Старосельский В.И. Квазиадиабатическая динамическая ло гика. Всероссийская научно-техническая конференция "Микро- и нано электроника-2001". Г. Звенигород, 2001. Тез. Докл. Т.2, РЗ-7.

3. Лосев В.И., Старосельский В.И.. Исследование перспективных вариантов элементной базы адиабатических устройств производства информации. IV Международная научно-техническая конференция «Электроника и информатика-2002», Москва, ноябрь 2002. Тезисы докл. с. 21.

4. V. V. Losev, V. I. Starosel'skii. New All-nMOS Quasi-adiabatic Dynamic Logic. Russian Microelectronics, Vol. 31, No. 3, 2002, pp. 143-148.

5. Константинов Д.Л., Лосев B.B. Реверсивная логика: физические ограничения и схемотехническая реализация. Всероссийская межвузовская научно-техническая конференция студентов и аспирантов "Микроэлектроника и информатика-99". Тезисы докладов. Москва 1999. с. 102.

6. Константинов Д.Л., Лосев В.В. Устройства питания реверсивных логических блоков. Всероссийская межвузовская научно-техническая конференция студентов и аспирантов "Микроэлектроника и информатика-2000". Тезисы докладов. Москва 2000. с. 59.

7. Лосев В.В. Динамическая квазиадиабатическая логика. Всероссийская межвузовская научно-техническая конференция студентов и аспирантов "Микроэлектроника и информатика-2001". Тезисы докладов. Москва 2001. с. 87.

8. Лосев В.В., Старосельский В.И. Закономерности энергопотребления в квазиадиабатических логических вентилях. "Микроэлектроника", 2003 Т.32, № 6, с 68.

9. Лосев В.В. Моделирование энергопотребления в асимптотически адиабатических вентилях статического типа. "Микроэлектроника", 2003 Т.32, № 8, с. 43.

Ю.Лосев В.В. Исследование процессов энергопотребления в асимптотически адиабатических вентилях статического типа. Всероссийская межвузовская научно-техническая конференция студентов и аспирантов "Мик-

роэлектроника и информатика-2003". Тезисы докладов. Москва 2003. с.56

11. Losev V., Staroselskiy V. Regularities of power consumption in quasiadiabatic logical gates. Int. Conf. "Micro- and nanoelectronics - 2003" KMNE-2003 Moscow-Zvenigorod, Russia. Oct. 6-10, 2003. Abstracts, P2-130.

- 12. Лосев В.В., Старосельский В.И. Исследование закономерностей энерго потребления в асимптотически адиабатических вентилях статическог типа. Микроэлектроника. 2004. Т.ЗЗ, № 3. С. 233-239.

13. Losev V., Staroselskiy V. The elementary adiabatic logic gates for digital in formation processing systems. Int. Conf. "Micro- and nanoelectronics - 2005' ICMNE-2005. Moscow-Zvenigorod, Russia. Oct. 3-7, 2005. Abstracts, 01-22

14. Лосев В.В., Крупкина Т.Ю., Муханюк Н.Н. Автоматизац проектирования библиотек стандартных элементов на основ параметризованных ячеек в САПР Cadence. Известия вузов Электроника. 2008, №4,- С. 31-35.

15. Losev V.V. Perspective Electronic Circuits With Ultralow Energy Consump tion/ IEEE Tenth International Conference and seminar on mi cro/nanotechnologies and electron device EDM'2009, Erlagol, Altai - July 1 6, 2009. P. 141-146.

16.Chaplygin Y. A., Losev V.V. New type of high efficiency power supply о digital units. Conf. "Micro- and nanoelectronics - 2009" ICMNE-2009. Mos cow-Zvenigorod, Russia. Oct. 3-7, 2009, P1-26.

17. Лосев B.B., Бобринецкий И.И. Элементная база адиабатическ цифровых устройств производства информации. «ЭлИнфо-05> материалы конференции, часть 1, с. 150-151

18. Лосев В.В. Термодинамические особенности функционирован наноэлектронных адиабатических систем обработки цифрово информации. Труды международной научно-технической конференции

молодежной школы семинара «Нанотехнологии-2010». - Россия, Дивноморское, 19-24 сентября 2010. - ТТИ ЮФУ, 2010.-Ч. 1.-е. 100102.

19.Т.Ю. Крупкина, Ю.А. Чаплыгин, В.В. Лосев. Новые методы построения микроэлектронных цифровых систем с низким энергопотреблением. Проблемы разработки перспективных микро- и наноэлектронных систем-

2010. Сборник трудов. М.: ИППМ РАН, 2010. с. 308-313.

20. Лосев В.В., Николаев A.B. Экспериментальное исследование энергетических характеристик элемента конденсаторно-транзисторного типа. Известия высших учебных заведений. Электроника. - М.: МИЭТ,

2011.-№6(92)'.-С. 76-77.

21.Лосев В.В. Энергетическая модель перспективной элементной базы наноэлектроники. 2-я Международная научно-техническая конференция «Технологии микро- и наноэлектроники в микро- и наносистемной технике», М. 13-14 октября 2011, НПК «Технологический центр» МИЭТ, с. 64-66.

22. Лосев В.В., Крупкина Т.Ю., Чаплыгин Ю.А. Схемотехническая реализация блоков системы авторегулирования кольцевого адиабатического драйвера. Радиопромышленность- М., 2012. - Вып. 3. -С. 33 -46.

23. Лосев В.В., Крупкина Т.Ю., Чаплыгин Ю.А. Резонансный энергоэффективный драйвер. Всероссийская научно-техническая конференция «Проблемы разработки перспективных микро- и наноэлектронных систем-2012». - Сборник научных трудов / под общ. ред. А.Л. Стемпковского. -М.: ИППМ РАН, 2012. - С. 405-408

24. Лосев В.В., Орлов Д.В. Арифметические алгоритмы системы кодирования 1 из 4 с активным нулем и оценка параметров быстродействия и занимаемой площади блока суммирования.

Всероссийская научно-техническая конференция «Проблемы разработки перспективных микро- и наноэлектронных систем-2012». - Сборник научных трудов / под общ. ред. А.Л. Стемпковского. -М.: ИППМ РАН,

2012,- С. 525-528

25. Лосев В.В., Орлов Д.В. Анализ параметров занимаемой площади, быстродействия и потребляемой мощности схемы сумматора системы кодирования 1 из 4 с активным нулем. Сборник тезисов докладов участников IV окружной научно-технической Конференции молодых ученых и специалистов Москва Зеленоград 2012, с.59.

26. Losev V.V. The fundamentals of practical realization of digital computing systems with low energy consumption/ Третья российская школа-семинар IEEE по фундаментальным проблемам микро- и наносистемной техники. Новосибирский государственный технический университет. 1-4 декабря 2011г. с. 108.

27. Лосев В.В. "Измерение параметров элементов адиабатической логики. Известия вузов. Электроника, 2013. - Т. 5 (103). с. 89.

28. Лосев В.В., Крупкина Т.Ю., Чаплыгин Ю.А. Схемотехнические способь реализации метода импульсной подкачки мощности в многофазны адиабатических драйверах резонансного типа. Известия вузов Электроника. -2013. - Т. 6 (104). с. 76.

29. Лосев В .В., Орлов Д.В. Оценка эффективности применения кодирован: 1 из 4 с активным нулем для построения процессора с конкурентным характеристиками по потребляемой мощности, быстродействию занимаемой площади. Сборник статей Международной научно практической конференции "Закономерности и тенденции развит науки в современном обществе". 29-30 марта 2013 г., г. Уфа. Часть 1. сс 194-199

30. Лосев В.В., Орлов Д.В. Разработка элементной базы системы кодирования 1 из 4 с четверичным представлением разряда числа. Сборник статей Международной научно-практической конференции "Фундаментальные проблемы науки". 27-28 сентября 2013 г., г. Уфа. Часть 2. с. 126-128.

31. Лосев В.В., Старосельский В.И. Реверсивное логическое устройство динамического типа на полевых транзисторах с изолированным затвором. Патент РФ №2184418. от 30.01.02.

Подписано в печать:

Формат 60x84 1/16. Уч.-изд.л.^,^

Тираж/С# экз. Заказ №

Отпечатано в типографии ИПК МИЭТ.

124498, г. Москва, г. Зеленоград, проезд 4806, д. 5, МИЭТ