автореферат диссертации по электронике, 05.27.01, диссертация на тему:Разработка и исследование логических схем на основе элементов конденсаторно-транзисторного типа

кандидата технических наук
Николаев, Артём Валерьевич
город
Москва
год
2011
специальность ВАК РФ
05.27.01
цена
450 рублей
Диссертация по электронике на тему «Разработка и исследование логических схем на основе элементов конденсаторно-транзисторного типа»

Автореферат диссертации по теме "Разработка и исследование логических схем на основе элементов конденсаторно-транзисторного типа"

На правах рукописи

НИКОЛАЕВ АРТЁМ ВАЛЕРЬЕВИЧ

РАЗРАБОТКА И ИССЛЕДОВАНИЕ ЛОГИЧЕСКИХ СХЕМ НА ОСНОВЕ ЭЛЕМЕНТОВ КОНДЕНСАТОРНО-ТРАНЗИСТОРНОГО ТИПА

Специальность 05.27.01 -твердотельная электроника, радиоэлектронные компоненты, микро- и наноэлектроника, приборы на квантовых эффектах

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

Москва 2011

9 ИЮН 2011

4849143

Работа выполнена на кафедре Интегральной электроники и микросистем Московского государственного института электронной техники (технического университета)

Научный руководитель: доктор технических наук

Крупкина Татьяна Юрьевна Официальные оппоненты: доктор технических наук, профессор

Петросянц Константин Орестович кандидат технических наук Слёзкин Владимир Валентинович

Ведущая организация:

ГУЛ НПЦ «ЭЛВИС»

Защита диссертации состоится

2011г., в 00

часов на заседании диссертационного совета Д 212. 134. 01 при Московском государственном институте электронной техники (технический университет) по адресу: 124498, Москва, Зеленоград, проезд 4806, д. 5

С диссертацией можно ознакомиться в библиотеке МИЭТ.

Автореферат разослан &&2011 года.

Ученый секретарь диссертационного совета:

доктор технических наук

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ Актуальность работы

В настоящее время большинство цифровых устройств, выпускаемых по всему миру, использует в качестве элементной базы КМОП схемотехнику. Это проверенное годами решение имеет много преимуществ. Однако, с развитием техники КМОП технология все более усложнялась, а энергопотребление конечных устройств росло. При переходе к технологиям с характерным размером 90 нанометров и менее стало очевидно, что простое уменьшение топологических норм уже не в состоянии адекватно увеличивать рабочие характеристики устройств, для этого требуется еще и усложнение технологии, что неизбежно приводит к удорожанию электронной продукции. Да и само уменьшение минимальных топологических норм становится проблемой при приближении к.фундаментальным физическим ограничениям.

С другой стороны, проблема отвода тепла от приборов давно перешла из разряда второстепенных. Мощность становится одним из решающим факторов, сдерживающих дальнейшее увеличение плотности компоновки элементов на кристалле. Данная задача становится более актуальной с расширением рынка мобильных устройств, поскольку технологии изготовления портативных элементов питания также близки к достижению фундаментальных физических ограничений.

Задача уменьшения мощности, потребляемой цифровыми устройствами, в настоящее время решается разнообразными способами, например введение нескольких уровней питания, отключения питания от простаивающих блоков, использование транзисторов с различными

пороговыми напряжениями и прочее. Все эти решения реализуются в рамках КМОП технологии, они требуют дополнительных аппаратных затрат и совершенно игнорируют другую задачу - упрощение технологии изготовления и, соответственно, уменьшение стоимости цифровых устройств.

Поэтому задача разработки альтернативного элементного базиса на сегодняшний день является востребованной и актуальной. Цель работы.

Цель работы заключается в исследовании и разработке нового типа квазиадиабатической логики на основе конденсаторно-транзисторных элементов, имеющей преимущества по энергопотреблению и аппаратным затратам по отношению к адиабатической и традиционной КМОП логике.

Для достижения данной цели необходимо было решить следующие задачи:

1. Разработать конфигурацию и принципы функционирования логического элемента.

2. Обнаружить и исследовать возможные побочные эффекты (слабые стороны), возникающие при работе базового элемента, разработать методологию устранения влияния данных эффектов на функциональные возможности логики.

3. Исследовать все возможные типы взаимодействий между элементами в составе сложного логического блока, обнаружить возможные проблемы и разработать методику их устранения. Разработать общую методологию построения цифровых устройств произвольной сложности на элементах конденсаторно-транзисторного

типа.

4. Проанализировать разработанные методики на наборе типовых цифровых устройств с различными нормами проектирования (180 и 45 нм). На основе анализа выявить преимущества и недостатки разрабатываемых схем.

5. Разработать маршрут физической реализации приборов, провести сравнительный анализ их функциональности относительно КМОП устройств, по результатам анализа, возможно, внести изменения в базовую конструкцию.

6. Получить и исследовать экспериментальный образец устройства разрабатываемой логики, провести экспериментальную апробацию основных результатов моделирования.

Научная новизна работы заключается в следующем:

])Предложен новый тип элемента конденсаторно-транзисторной логики, имеющей преимущества по отношению к традиционным КМОП и квазиадиабатическим схемам по уровню энергетической эффективности и занимаемой площади.

2)Впервые показано, что энергоэффективная элементная база может иметь выигрыш по площади, занимаемой на кристалле.

3)Установлен режим работы базового элемента в составе цифровых устройств и предложен способ их схемотехнической организации на элементах данного типа.

4)Создана трехмерная численная модель, позволившая исследовать на физическом уровне особенности функционирования разработанной элементной базы.

5)Обнаружена и исследована зависимость энергоэффективности

разработанного элемента от его физической реализации и предложен технологический маршрут создания элементов подобного типа.

Практическая значимость работы заключается в следующем:

1. Предложенный в работе новый тип логического элемента позволяет реализовывать цифровые блоки с квазиреверсивным способом производства информации, содержащие только п-канальные МОП транзисторы.'

2. Разработана методика и маршрут проектирования сложных цифровых устройств на основе элементов конденсаторно-транзисторного типа.

3. Результаты работы создают основу для последующих разработок семейства логических базисов на основе конденсаторно-транзисторного элемента, которые могут быть использованы в цифровых устройствах с повышенными требованиями к энергоэффективности и стоимости конечных изделий, что особенно актуально с развитием рынка мобильных устройств.

4. Результаты работы внедрены при выполнении трёх НИР, выполненных на кафедре интегральной электроники и микросистем МИЭТ, а также в учебный процесс МИЭТ.

На защиту выносятся следующие положения:

1. Разработанная с использованием средств моделирования конструкция базового элемента конденсаторно-транзисторного типа.

2. Методика построения сложных цифровых устройств на основе элементов конденсаторно-транзисторного типа.

3. Результаты сравнительного анализа набора цифровых

устройств, построенных на элементах конденсаторно-транзисторного типа.

4. Разработанный маршрут проектирования устройств конденсаторно-транзисторного типа и результаты физико-технологического сравнительного анализа этих элементов.

Апробация результатов работы.

Результаты работы докладывались на всероссийском молодежном научно-инновационном конкурсе-конференции "Электроника - 2006", тринадцатой международной научно-технической конференции студентов и аспирантов "Радиоэлектроника, электротехника и энергетика", 2007 год, МЭИ, четырнадцатой всероссийской межвузовской научно-технической конференция студентов и аспирантов «Микроэлектроника и информатика - 2007», МИЭТ, ' международной научно-технической школе-конференции "Молодые ученые - науке, технологиям и профессиональному образованию", 2008 год, МИРЭА, конференции «Физические проблемы наноэлектроники, нанотехнологии и микросистем (ФПННиМ - 2009)», 10-ой юбилейной конференции-семинаре по микро/нанотехнологиям и электронным приборам EDM-2009, конференции MB-JASS 2009 и 18-ой всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика 2011» .

Публикации.

По материалам диссертации опубликовано 10 работ, включая 2 статьи в изданиях, входящих в перечень ВАК, 8 - в специализированном сборнике научных трудов, в материалах,

сборниках научных трудов и тезисах докладов научно-технических конференций.

Структура и объем диссертации.

Диссертация состоит из введения, пяти глав, заключения и трех приложений. Объем работы составляет 181 страницу, работа содержит 123 рисунка, 13 таблиц, список цитируемых источников из 95 наименований, приложения занимают 24 страницы. СОДЕРЖАНИЕ РАБОТЫ,

Во введении обосновывается актуальность темы диссертации, формулируется цель и задачи работы, научная новизна, практическая значимость полученных результатов, а также положения, выносимые на защиту. Излагается краткое содержание работы.

В первой главе рассмотрено современное состояние КМОП схем - проблемы, пути решения и развития, приведен обзор известных методов уменьшения энергопотребления схем и обоснование выбора квазиреверсивной логики как основы для разработки. Приведен подробный анализ энергопотребления КМОП схем на протяжении всей их истории, рассмотрены известные методы его уменьшения. Показана наибольшая эффективность адиабатической логики, в качестве средства кардинального уменьшения потребления энергии. Рассмотрена обобщенная схема производства информации адиабатическим вентилем (приведена на Рисунке 1).

Рисунок 1. Обобщенная схема адиабатического производства информации.

Рассмотрена и проанализирована классификация адиабатических схем и выбран наиболее перспективный вариант, как основа для разработки нового типа логики.

Вторая глава посвящена разработке конструкции базового вентиля и методологии построения сложных устройств с помощью средств схемотехнического моделирования. Обобщенная структура вентиля приведена на Рисунке 2.

По результатам исследований были выработаны следующие требования к элементу: заряжающая ёмкость должна по номиналу на порядок превосходить величину нагрузочной, частота подачи входных воздействий не должна превосходить частоту изменения напряжения питания. В процессе исследований вентиля также была разработана особая методика формирования выходного сигнала.

—Vdd

Co

load

Рисунок 2. Обобщенная схема КТЛ вентиля.

Для ее реализации в структуру вентиля вводится проходной вентиль л-типа между непосредственным выходом вентиля и нагрузкой (Рисунок 3). Он управляется специальным сигналом, вложенным в импульсы питания. -УсМ'

., гремя

0 4 б

Рисунок 3. Формирование выходного сигнала, а) проходной вентиль б) синхронизация управляющего сигнала (2) с питанием (1).

Данный сигнал должен иметь ту же частоту, что и основное питание, но меньшую ширину импульса и должен быть сдвинут относительно питающего на величину длительности фронта. Такая методика позволяет уменьшить прохождение паразитных наводок на выход элемента, увеличив его быстродействие и препятствуя стоку заряда в пассивные фазы питания. Помимо этого для KTJI схем была разработана методика организации логических связей между элементами. Она подразумевает наличие двух основных (и, соответственно, двух вспомогательных) шин питания, подсоединяемых к элементарных блоками в соответствии с двумя правилами каскадирования:

1.Вся схема разбивается на две группы логических уровней -«чётные» и «нечётные», каждая из которых имеет свой источник питания.

2.Допускается соединение элементов только с различных уровней четности.

Такая организация позволяет строить на основе элементов конденсаторно-транзисторного типа сколь угодно сложные цифровые устройства как комбинационные, так и последовательностные.

Третья глава содержит результаты исследования набора типовых цифровых устройств, построенных на элементах конденсаторно-транзисторного типа и сравнительного анализа данных элементов с их КМОП аналогами. Данный анализ проведен с помощью схемотехнических моделей приборов различных технологий - 180 и 45 нм. Для исследования были взяты схемы инвертора, 6-входового вентиля, выполняющего сложение произведений, одноразрядного

сумматора, полного мультиплексора 8 в 1, Б- и ЯБ-триггеров. Исследование показало, что КТЛ элементы, реализующие сложную логическую функцию, могут иметь значительное (до 30 %) преимущество по площади, занимаемой на кристалле, небольшие же элементы, наоборот, из-за необходимости использовать большие по величине ёмкости, уступают в этом показателе КМОП, но все КТЛ элементы потребляют от 2 до 5 раз меньше энергии. Наиболее ярко преимущества КТЛ демонстрирует схема одноразрядного сумматора. Она состоит из 18 п-канальных транзисторов (приведена на Рисунке 4), в то время как классическое КМОП исполнение содержит 28 транзисторов, из которых половина р-типа. Несмотря на наличие четырех шин питания энергопотребление схемы в пять раз меньше, чем у КМОП, а быстродействие уступает ему лишь на 20%.

Т1г

Рисунок 4. КТЛ одноразрядный сумматор

Характерная для всех исследованных устройств зависимость энергии переключения от величины питающего напряжения приведена на Рисунке 5.

№13 - В.СЕ-13 7.СЁ-13 №13

5СЁ-13 Й __________

а ¡НЯ-КТЛ ' №13 т п

№13 2СЕ-13 1.СЕ-13

о.с&оо

Рисунок 5. Зависимость энергии переключения КТЛ от амплитуды

питания.

Энергопотребление и быстродействие КТЛ определяется только внутренними свойствами самого вентиля и не зависит, в отличие от КМОП, от уровня амплитуды питающего напряжения. Также КТЛ вентили имеют значительно больший коэффициент разветвления по выходу. По результатам проведенного исследования было установлено, что устройства, использующие новую элементную базу, показали себя значительно более энергоэффективными и более простыми в разработке и эксплуатации, однако, отличающимися меньшим быстродействием.

энергга перекгкт*«

Плэме, в

Было рассмотрено, как влияет на свойства KTJ1 базиса переход к технологии с меньшими топологическими нормами. В качестве таковой были использованы модели low power (1р) BSIM 4.2 level 54 с характерным напряжением питания 1,1 Вольт. По сравнению с инвертором, выполненным по технологическим нормам 180 нм, выигрыш в энергопотреблении возрос в два раза, а амплитуда выходного напряжения увеличилась до 95% от уровня питания. Уменьшение размеров прибора усиливает преимущества KTJI элементов, поскольку с уменьшением размеров уменьшились главные факторы, негативно влияющие на KTJI, - паразитные емкости переключающих транзисторов. Таким образом, установлено, что использование технологии с меньшим характерным размером элементов дает дополнительные преимущества элементам конденсаторно-транзисторного типа.

Четвертая глава посвящена разработке маршрута и анализу физической структуры элемента конденсаторно-транзисторной логики. Также проведен сравнительный анализ данной структуры с типовой КМОП структурой, С помощью двумерного численного моделирования в пакете Synopsys TCAD была разработана физическая модель структуры KTJI типа. Она приведена на Рисунке б.

Рисунок 6. Двумерная физическая модель КТЛ инвертора.

За основу был принят типовой КМОП маршрут технологии 0,18 мкм с двумя карманами и мелкощелевой изоляцией. Для уменьшения занимаемой элементом площади сток переключающего и исток транзистора — МОП емкости были совмещены. КТЛ вентиль требует как минимум на три маски меньше, чем КМОП. В работе впервые показано, что энергоэффективная элементная база может иметь выигрыш по площади, занимаемой элементом на кристалле. Двумерное численное моделирование не позволяет в полном объеме исследовать структуру. Однако, в процессе исследований было установлено, что энергия переключения КТЛ вентиля на характерном питании (3 Вольта) меньше КМОП в 10 раз. И меньше полученного ранее при схемотехническом моделировании в 5 раз.

Трехмерная численная модель была построена на основе аналитической аппроксимации профилей распределения примесей, полученных в двумерной модели на основе типового маршрута. Она позволила более точно исследовать зависимость свойств структуры от ее геометрии и от физических параметров самой структуры. Трехмерная численная модель позволила установить сильную зависимость свойств структуры от величины подпороговых токов переключающего транзистора. В приведенном исследовании их величина контролировалась толщиной слоя подлегирования в канале. Как видно из приведенной таблицы с уменьшением величины подпороговых токов увеличивается уровень выходной амплитуды, и уменьшаются потери энергии. Причем зависимость уровня выходной амплитуды от величины порога значительно сильнее, чем от размеров МОП емкости. А это позволяет использовать в качестве МОП ёмкости транзистор минимальных размеров и получить значительный выигрыш в площади

элемента. Финальная структура приведена на Рисунке 7.

ттяшшж&яятт.

■■", ^_^васагжгд^гЖ ............■■.,:.„■.„.. 1.-;-!—

Рисунок 7. Минимизированная трехмерная структура КТЛ инвертора.

В пятой главе проиллюстрированы экспериментальные исследования реального образца нового типа логики - инвертора конденсаторно-транзисторного типа. Принципиальная

работоспособность элементов данного типа была подтверждена экспериментальными исследованиями реального кристалла. Изготовленные по технологии 0,8 мкм образцы инверторов КТЛ и КМОП успешно прошли функциональную верификацию. Результаты измерений приведены на Рисунке 8 (показаны эпюры питающего, входного и выходного напряжений, снятые с осциллографа (а) и их расшифровка (б), выходной сигнал приведен в инверсии).

а) б)

Рисунок 8. Функциональная верификация КТЛ элемента а) снятие диаграмм с осциллографа, б) эпюры напряжений питания, входного и выходного, подтверждающие работоспособность инвертора.

КТЛ инвертор показал лучшие, чем его КМОП конкурент результаты по потребляемой энергии (энергия переключения КТЛ меньше КМОП в 3.3 раза) и занимаемой площади (КТЛ элемент занимает на 30 % меньше площади, чем КМОП).

В заключении диссертации сформулированы основные результаты и сделан общий вывод по работе.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

1) Был разработан базовый элемент конденсаторно-транзисторной логики и исследованы все режимы и особенности его работы.

2) Была разработана методика построения схем конденсаторно-транзисторной логики, учитывающая такую их особенность, как наличие дребезга на выходе: для устранения влияния данного эффекта в схему был введен проходной транзистор контроля выходного сигнала;

3) Была разработана методология конвейерного режима работы элементов друг на друга, для чего была введена двухшинная организация цепей питания.

4) Вентили конденсаторно-транзисторного типа потребляют от 2 до 10 раз меньше энергии, чем их КМОП аналоги. Величина выигрыша зависит от размера переключательного блока, при слишком громоздких конструкциях он не столь значителен, наибольший эффект наблюдается для схем среднего размера.

5) Вентили КТЛ типа изначально являются тактируемыми, что избавляет схемы от гонок сигналов и необходимости балансировки блоков заряда и разряда нагрузки.

6) Для достаточно сложных схем КТЛ реализация позволяет получить существенную экономию площади прибора (так для мультиплексора 8 в 1 экономия составляет около 30%), поскольку в таких схемах задействовано мало инверторов, занимающих большую площадь.

7) Сравнительный анализ КТЛ элементов, выполненных по технологиям 180 и 45 нм, позволил установить, что потребление КТЛ схем для технологии 45 нм уменьшается на порядок, что указывает на сильное влияние технологических параметров на КТЛ схемы.

8) В результате исследования влияния конструктивно-технологических параметров структуры на характеристики КТЛ вентиля была предложена модификация технологического маршрута, обеспечивающая увеличение порогового напряжения на 20%, что позволило уменьшить энергопотребление в 2 раза и уменьшить площадь элемента более, чем в 8 раз.

9) Энергетические и эксплуатационные характеристики элемента конденсаторно-транзисторного типа реального образца в кремнии оказались предпочтительнее его КМОП аналога - энергия переключения меньше в 3.3 раза и площадь меньше на 30%, что доказывает перспективность дальнейшей работы над данной логикой.

Таким образом, в работе был разработан принципиально новый логический элементный базис, имеющий низкое энергопотребление и более простую и дешевую технологию производства по сравнению с КМОП схемам. Разработка была проведена с помощью средств схемотехнического моделирования на типовых моделях для современных технологий уровня 180 и 45 нм, а также программных средств приборно-технологического моделирования. Были исследованы режимы работы устройств в составе сложных систем. В работе были

созданы двух- и трехмерные физические модели, обнаружена и исследована зависимость энергоэффективности элемента от его физической реализации. Помимо этого, был получен и исследован работоспособный прототип базового элемента в кремнии. Для всех видов исследований был выполнен сравнительный анализ с аналогичными по функциональности КМОП устройствами, результаты которого позволяют с уверенностью говорить о высокой конкурентоспособности разработанного элементного базиса в портативных и мобильных устройствах, число которых в современном мире постоянно увеличивается.

ПУБЛИКАЦИИ ПО ТЕМЕ ДИССЕРТАЦИИ

Материалы диссертации опубликованы в следующих работах:

1. В.Я Кремлёв, Т.Ю. Крупкина, A.B. Николаев "Построение цифровых схем на основе элементов конденсаторно-транзисторного типа" // Известия высших учебных заведений, Электроника №1 2008. -С. 56-61

2. А.В, Николаев «Анализ энергопотребления элементов конденсаторно-транзисторной логики методами приборно-технологического моделирования» II Известия высших учебных заведений, Электроника №5(85) 2010. - С. 66-68

3. A.B. Николаев, Д.В, Родионов «Разработка элементной базы устройств комбинационной логики на элементах конденсаторно-транзисторного типа» // Сборник тезисов всероссийского молодежного научно-инновационного конкурса-конференции «Электроника ■— 2006», Москва, МИЭТ. - С. 103.

4. A.B. Николаев «Исследование возможностей построения устройств комбинационной логики на элементах конденсаторно-транзисторного типа» // Сборник трудов тринадцатой международной научно-технической конференции студентов и аспирантов «Радиоэлектроника, электротехника и энергетика» Москва, МЭИ, 2007.-Том 1, С.283-285

5. A.B. Николаев «Построение последовательностных схем на элементах конденсаторно-транзисторного типа» // Сборник трудов четырнадцатой всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика — 2007», Москва, МИЭТ.- С.79

6. A.B. Николаев «Исследование возможностей построения устройств комбинационной логики на элементах конденсаторно-транзисторного типа» // В сборник трудов международной научно-технической школы-конференции «Молодые ученые — науке, технологиям и профессиональному образованию», 2008, Москва, МИРЭА,- С.168

7. Т.Ю. Крупкина, Д.В. Родионов, A.B. Николаев «Исследование процесса инжекции тока в подложку при переключении цифрового вентиля» // Сборник трудов научно-технической конференции физические проблемы наноэлектроники, нанотехнологии и микросистем «ФПННиМ— 2009»,- С.42

8. Т.Ю. Крупкина, Д.В. Родионов, A.B. Николаев «Численные модели МОП приборов и методология моделирования физических эффектов в подложке интегральных схем» // Сборник трудов научно-технической конференции «MB JASS 2009»,- С.28

9. A.B. Николаев «Оценка эффективности использования транзистора в конденсаторном включении как элемента конденсаторно-транзисторной логики» // Сборник трудов десятой международной конференции-семинара по микро/нанотехнологиям и электронным приборам «EDM'2009», Новосибирск, НГТУ.- С.145

10. A.B. Николаев «Исследование трехмерной численной модели инвертора конденсаторно-транзисторного типа» // Сборник трудов восемнадцатой всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроники и информатика — 2011», Москва, МИЭТ,- С.85

v

Подписано в печать:

Заказ № ^СбТираж -/00 экз. Уч.-изд.л. У,-/ Формат 60x84 1/16. Отпечатано в типографии МИЭТ. 103498. Москва, МИЭТ.

/

723

Оглавление автор диссертации — кандидата технических наук Николаев, Артём Валерьевич

Введение.

Глава 1. Недостатки КМОП схемотехники и современные тенденции их преодоления.

1.1 КМОП схемы и их предшественники.

1.2 Развитие КМОП схемотехники.

1.3 Проблемы энергопотребления в электронике.

1.4 Реверсивность логических схем и принцип квазиадиабатического переключения.

1.5 Выводы.

Глава 2. Базовые принципы функционирования и основные характеристики конденсаторно-транзисторной логики.

2.1. Архитектура элементов кондесаторно-транзисторного типа.

2.2. Методы борьбы с «дребезгом» на выходе схем.

2.3. Проблема работы логических вентилей в больших схемах и метод ее решения.

2.4. Последовательностные схемы.

2.5 Выводы.

Глава 3. Анализ построения KTJI элементов и сравнение с классическими КМОП аналогами.

3.1. Инвертор.

3.2. Логический вентиль с шестью входами.

3.3. Одноразрядный сумматор.

3.4. Мультиплексор восемь в один.

3.5. ЯЭ и Б-триггеры.

3.6 Инвертор, выполненный по технологии 45 нанометров.

3.7. Выводы.

Глава 4. Разработка технологического маршрута производства конденсаторно-транзисторных элементов.

4.1. Выбор размеров емкостного элемента на основе МОП-транзистора.

4.2. Оценка работоспособности КТЛ элементов с помощью двумерной численной модели КТЛ структуры.

4.3 Трехмерное численное моделирование КТЛ структуры.

4.4 Влияние конструктивно-технологических параметров на характеристики КТЛ элементов.

4.5 Выводы.

Глава 5. Экспериментальные исследования и анализ образцов КТЛ и КМОП инверторов.

5.1. Постановка эксперимента.

5.2. Измерительное оборудование.

5.3. Измерения.

5.4. Выводы.

Введение 2011 год, диссертация по электронике, Николаев, Артём Валерьевич

Актуальность работы.

В настоящее время большинство цифровых устройств, выпускаемых по всему миру, использует в качестве элементной базы КМОП схемотехнику. Это проверенное годами решение имеет много преимуществ [1]-[4]. Однако, с развитием техники КМОП технология все более усложнялась, а энергопотребление конечных устройств росло. При переходе к технологиям с характерным размером 90 нанометров и менее стало очевидно, что простое уменьшение топологических норм уже не в состоянии адекватно увеличивать рабочие характеристики устройств, для этого требуется еще и усложнение технологии, что неизбежно приводит к удорожанию электронной продукции [5]. Да и само уменьшение минимальных топологических норм становится проблемой при приближении к фундаментальным физическим ограничениям [6].

С другой стороны, проблема отвода тепла от приборов давно перешла из разряда второстепенных. Мощность становится одним из решающим факторов, сдерживающих дальнейшее увеличение плотности компоновки элементов на кристалле. Данная задача становится более актуальной с расширением рынка мобильных устройств, поскольку технологии изготовления портативных элементов питания также близки к достижению фундаментальных физических ограничений [7]-[15].

Задача уменьшения мощности, потребляемой цифровыми устройствами, в настоящее время решается разнообразными способами, например введение нескольких уровней питания, отключения питания от простаивающих блоков, использование транзисторов с различными пороговыми напряжениями и прочее [16]-[24]. Все эти решения реализуются в рамках КМОП технологии, они требуют дополнительных аппаратных затрат и совершенно игнорируют другую задачу - упрощение технологии изготовления и, соответственно, уменьшение стоимости цифровых устройств.

Поэтому задача разработки альтернативного элементного базиса на сегодняшний день является востребованной и актуальной.

Цель работы - Исследование и разработка нового типа квазиадиабатической логики на основе конденсаторно-транзисторных элементов, имеющей преимущества по энергопотреблению и аппаратным затратам по отношению к адиабатической и традиционной КМОП логике.

Для достижения данной цели необходимо было решить следующие задачи:

1. Разработать конфигурацию и принципы функционирования логического элемента конденсаторно-транзисторного типа.

2. Обнаружить и исследовать возможные побочные эффекты (слабые стороны), возникающие при работе базового элемента, разработать методологию устранения влияния данных эффектов на функциональные возможности логики.

3. Исследовать все возможные типы взаимодействий между элементами в составе сложного логического блока, обнаружить возможные проблемы и разработать методику их устранения. Разработать общую методологию построения цифровых устройств произвольной сложности на элементах конденсаторно-транзисторного типа.

4. Проанализировать разработанные методики на наборе типовых цифровых устройств. На основе анализа выявить преимущества и недостатки разрабатываемых схем, анализ провести для различных технологий проектирования (для 0,18 и 0,045 микрон).

5. Разработать маршрут физической реализации приборов, провести сравнительный анализ их функциональности относительно КМОП устройств, на основе анализа, возможно, внести изменения в базовую конструкцию.

6. Получить и исследовать экспериментальный образец устройства разрабатываемой логики, экспериментальная апробация основных результатов моделирования.

Научная новизна работы заключается в следующем:

1. Предложен новый тип элемента конденсаторно-транзисторного логики, имеющей преимущества по отношению к традиционным КМОП и квазиадиабатическим схемам по уровню энергетической эффективности и занимаемой площади.

2. Впервые показано, что энергоэффективная элементная база может иметь выигрыш по площади, занимаемой на кристалле.

3. Установлен режим работы базового элемента в составе цифровых устройств и предложен способ их схемотехнической организации на элементах данного типа.

4. Создана трехмерная численная модель, позволившая исследовать на физическом уровне особенности функционирования разработанной элементной базы.

5. Обнаружена и исследована зависимость энергоэффективности разработанного элемента от его физической реализации и предложен технологический маршрут создания элементов подобного типа.

Практическая значимость работы заключается в следующем:

1. Предложенный в работе новый тип логического элемента позволяет реализовывать цифровые блоки с квазиреверсивным способом производства информации, содержащие только п-канальные МОП транзисторы.

2. Разработана методика и маршрут проектирования сложных цифровых устройств на основе элементов конденсаторно-транзисторного типа.

3. Результаты работы создают основу для последующих разработок семейства логических базисов на основе конденсаторно-транзисторного элемента, которые могут быть использованы в цифровых устройствах с повышенными требованиями к энергоэффективности и стоимости конечных изделий, что особенно актуально с развитием рынка мобильных устройств.

На защиту выносятся следующие положения: 1. Разработанная с использованием средств моделирования конструкция базового элемента конденсаторно-транзисторного типа.

2. Методика построения сложных цифровых устройств на основе элементов конденсаторно-транзисторного типа.

3. Результаты сравнительного анализа набора цифровых устройств, построенных на элементах конденсаторно-транзисторного типа.

4. Разработанный маршрут проектирования устройств конденсаторно-транзисторного типа и результаты физико-технологического сравнительного анализа этих элементов.

Апробация результатов работы.

Результаты работы докладывались на всероссийском молодежном научно-инновационном конкурсе-конференции "Электроника - 2006", тринадцатой международной научно-технической конференции студентов и аспирантов "Радиоэлектроника, электротехника и энергетика" 2007 год МЭИ, четырнадцатой всероссийской межвузовской научно-технической конференция студентов и аспирантов «Микроэлектроника и информатика -2007» МИЭТ, международной научно-технической школе-конференции "Молодые ученые - науке, технологиям и профессиональному образованию" 2008 год МИРЭА, конференции «Физические проблемы наноэлектроники, нанотехнологии и микросистем (ФПННиМ -2009)», 10-ой юбилейной конференции-семинаре по микро/нанотехнологиям и электронным приборам ЕОМ-2009, конференции МВ-МвЭ 2009 и 18-ой всероссийской межвузовской научно-технической конференции студентов и аспирантов «Микроэлектроника и информатика 2011» .

Публикации.

По материалам диссертации опубликовано 10 работ, включая 2 статьи в изданиях, входящих в перечень ВАК, 8 - в специализированном сборнике научных трудов, в материалах, сборниках научных трудов и тезисах докладов научно-технических конференций.

Структура и объем диссертации.

Диссертация состоит из введения, пяти глав, заключения и трех приложений. Объем работы составляет 181 страницы, работа содержит 123 рисунка, 13 таблиц, список цитируемых источников из 95 наименований, приложения занимают 24 страницы.

Заключение диссертация на тему "Разработка и исследование логических схем на основе элементов конденсаторно-транзисторного типа"

5.4. Выводы.

1. Проведенный эксперимент доказал принципиальную работоспособность элементов конденсаторно-транзисторного типа.

2. Несмотря на использование достаточно устаревшей технологии при изготовлении экспериментального образца, энергетические характеристики элемента конденсаторно-транзисторного типа оказались предпочтительнее его КМОП аналога, что позволяет надеяться на улучшение характеристик и перспективность дальнейшей работы над данной логикой.

Заключение.

1) В настоящее время с уменьшением топологических норм проектирования КМОП схемотехника приближается к своим фундаментальным ограничениям, которые в значительной степени ослабляют ее конкурентные преимущества и заставляют искать альтернативные варианты.

2) Проблема теплоотвода от схем высокой степени интеграции и плотности упаковки становится также все более острой, что заставляет прибегать к дополнительным мерам, даже в ущерб быстродействию.

3) Вентили, допускающие возврат энергии, затраченной на производство информации, являются наиболее перспективным направлением энергосберегающей электроники.

4) Среди имеющихся вариантов построения квазиадиабатических элементов следует выделить квазиадиабатическую логику статического типа, как наиболее перспективную с точки зрения аппаратных затрат и выигрыша в энергопотреблении. '

5) Таким образом, задачей диссертационной работы является исследование возможностей создания квазиадиабатической логики статического типа на основе конденсаторных элементов и разработка методики и маршрута проектирования малопотребляющих схем на элементах данного типа.

6) С целью решения всех вышеупомянутых проблем в работе был разработан базовый элемент конденсаторно-транзисторной логики и исследованы все режимы и особенности его работы.

7) Была разработана методика построения схем конденсаторно-транзисторной логики, учитывающая такую их особенность, как наличие дребезга на выходе: введение проходного транзистора контроля выходного сигнала;

8) Была разработана методология конвейерного режима работы элементов друг на друга, для чего была введена двухшинная организация цепей питания.

9) Таким образом, была разработана методика построения схем конденсаторно-транзисторного типа как комбинационных, так и последовательностных, что позволяет строить на таких элементах любое цифровое устройство и делает логический базис функционально полным.

10) Вентили конденсаторно-транзисторного типа потребляют в несколько раз меньше энергии, чем их КМОП аналоги. Величина выигрыша зависит от размера переключательного блока, при слишком громоздких конструкциях он не столь значителен, наибольший эффект наблюдается для схем среднего размера.

11) Вентили КТЛ типа изначально являются тактируемыми, что избавляет схемы от гонок сигналов и необходимости балансировки блоков заряда и разряда нагрузки.

12) Для достаточно сложных схем КТЛ реализация позволяет получить существенную экономию площади прибора (так для мультиплексора 8 в 1 экономия составляет около 30%), поскольку в таких схемах задействовано мало инверторов, занимающих большую площадь.

13) Несмотря на значительно меньшую входную емкость, быстродействие КТЛ вентилей в несколько раз хуже, чем у КМОП аналогов, однако оно существенно слабее зависит от величин напряжения питания и емкости нагрузки, что позволяет увеличить нагрузочную способность и не терять скорость при понижении питающего напряжения.

14) Энергия переключения КТЛ вентиля зависит только от числа тактов питающих импульсов и практически не зависит от частоты изменения входных сигналов.

15) Также очень слабо она зависит от величины напряжения питания, так как потери определяются в основном качеством технологического процесса, и не зависит от длительности фронтов входных сигналов.

16) Все это позволяет снять требование обеспечения максимальной крутизны фронтов сигналов и постоянно использовать схему на предельной частоте.

17) Характеристики КТЛ элементов значительно сильнее зависят от температуры, чем это наблюдается у КМОП элементов, что объясняется большим температурным разбросом величины емкости.

18) Используемые в последовательностных схемах обратные связи в некоторой степени нивелируют преимущества КТЛ элементов, однако это ухудшение не столь значительно, чтобы отказаться от использования КТЛ триггерных элементов.

19) КТЛ вентили являются энергетически более выгодными по сравнению с КМОП, даже если сравнивать вентили, созданные на основе современных транзисторов, предназначенных для работы в малопотребляющих блоках, выполненных по технологии 45 нанометров. Причем экономия энергии оказывается более существенной, чем для технологии 0,18 микрометров, даже принимаю в расчет дополнительные меры поднятия амплитуды выходного сигнала.

20) Рабочие характеристики вентилей конденсаторно-транзисторного типа сильно зависят от свойств конденсаторного элемента, то есть от технологии его изготовления. Поэтому разработка маршрута создания прибора с помощью технологического моделирования является крайне важной задачей, от которой зависят перспективы разрабатываемого вида логики. В работе была разработана физическая модель вентилей КТЛ типа, которая в дальнейшем может служить отправной точкой при производстве схем такого рода.

21) Технологическое моделирование подтвердило работоспособность схем КТЛ типа и их принципиальные достоинства, однако и выявило некоторые особенности, как-то: необходимость уменьшения подпороговых токов переключающего транзистора.

22) Небольшое изменение конструкции переключающего транзистора — увеличение толщины слоя подлегирования (и, следовательно, увеличения порогового напряжения) позволило значительно уменьшить площадь, занимаемую КТЛ вентилем на кристалле, при этом сохранив его главное преимущество — меньшее энергопотребление.

23) Таким образом, по результатам проведенных исследований, КТЛ элемент, занимая меньшую площадь на кристалле, чем его КМОП аналог, потребляет в 6,5 раз меньше энергии на каждое переключение и требует более простой технологии изготовления.

24) Проведенный эксперимент доказал принципиальную работоспособность элементов конденсаторно-транзисторного типа.

25) Несмотря на использование достаточно устаревшей технологии при изготовлении экспериментального образца, энергетические характеристики элемента конденсаторно-транзисторного типа оказались предпочтительнее его КМОП аналога, что позволяет надеяться на улучшение характеристик и перспективность дальнейшей работы над данной логикой.

Таким образом, в работе был разработан принципиально новый логический элементный базис, имеющий низкое энергопотребление и более простую и дешевую технологию производства по сравнению с КМОП схемам. Разработка была проведена с помощью средств схемотехнического моделирования на типовых моделях нескольких современных технологий для нескольких симуляторов, приборнотехнологического моделирования, благодаря которым были созданы двух-и трехмерные физические модели базовых элементов. Были исследованы режимы работы устройств в составе сложных систем. В работе была создана трехмерная физическая модель, обнаружена и исследована зависимость энергоэффективности элемента от его физической реализации. Помимо этого, был получен и исследован работоспособный прототип базового элемента в кремнии. Для всех видов исследований был выполнен сравнительный анализ с аналогичными по функциональности КМОП устройствам, результаты которого позволяют с уверенностью говорить о высокой конкурентоспособности разработанного элементного базиса в портативных и мобильных устройствах, число которых в современном мире постоянно увеличивается.

Библиография Николаев, Артём Валерьевич, диссертация по теме Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах

1. Титце. У., Шенк К. Полупроводниковая схемотехника: Справочное руководство, изд-е 5. М.: Мир, 1982,-512с.

2. И. П. Степаненко Основы микроэлектроники. М.: Лаборатория Базовых1. Знаний, 2001.-488с.

3. Алексеенко А.Г., Шагурин И.И. Микросхемотехника. -М.: Радио и связь,1990,-496с.

4. Щука А.А. Электроника: Учебное пособие. СПб.: БХВ-Петербург, 2005.800с.

5. М. Horowitz, Е. Alon, D. Patil, S. Naffziger, R. Kumar, K. Bernstein Scaling, power, and the future of CMOS. IEDM Tech. Dig., 2005 pp 11-17.

6. A. Khakifirooz, D. A. Antoniadis Transistor performance scaling: The role of virtual source velocity and its mobility dependence. IEDM Tech. Dig., 2006, pp. 667-670.

7. Scott Thompson, Paul Packan, Mark T. Bohr MOS Scaling. Transistor Challenges for the 21st Century. Intel Technology Journal Q398.

8. V.P. Trivedi, J.G. Fossum Scaling fully depleted SOI CMOS IEEE Transaction Electron Devices vol. 50 no. 10 pp. 2095-2103 Oct. 2003

9. B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C.-Y. Yang, C. Tabery, C. Ho, Q. Xiang, T.J. King, J. Bokor, C. Hu, M.-R. Lin, D. Kyser FinFET scaling to 10 nm gate length IEDM Tech. Dig., 2002 pp.251-254

10. B. S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kavalieros, T. Linton, A. Murthy, R. Rios, R. Chau High Perfomance fully-depleted tri-gate CMODS transistors IEEE Electron Device Lett., vol.24, no. 4, pp. 263-265, Apr. 2003

11. F.-L. Yang, H.-Y. Chen, F.-C. Chen, C.-C. Huang, C.-Y. Chang, H.-K. Chiu, C.-C. Lee, C.-C. Chen, H.-T. Huang, C.-J. Chen, H.-J. Tao, Y.-C. Too, M.-S. Liang, C. Hu 25 nm CMOS omega FETs IEDM Tech. Dig., 2002. pp. 255-258

12. F.-L. Yang, D.H. Lee, H.-Y. Chen, C.-Y. Chang, S.-D. Liu, C.-C. Huang, T.-X. Chung, H.-W. Chen, C.-C. Huang, Y.-H. Liu, C.-C. Wu, C.-C.

13. Chen, Y.-T. Chen, Y.-H. Chen, C.-J. Chen, B.-W. Chen, P.-F. Hsu, J.-H. Sheih, H.-J. Tao, Y.-C. Yeo, Y. Lee, J. W. Lee, P. Chen, M.-S. Liang, C. Hu 5nm-gate nanowire FinFET VLSI Symp. Tech. Dig., 2004, pp. 196-197

14. Mi-Chang Chang, Chin-Sheng Chang, Chin-Ping Chao, Ken-lchi Goto,Meikei leong, Lee-Chung Lu, Carlos H. Dias Transistor- and Circuit-Design Optimization for Low-Power CMOS IEEE Transaction on Electron Devices vol.55 no.1 january 2008

15. S. Narendra, S. Bokar, V. De, D. Antoniadis, A. Chandrakasan Skaling of stack effect and its application for leakage reduction Proc. ACM/IEEE Int. Symp. Low Power Electron. Des., Huntington Beach, CA, 2001, pp. 195-200

16. M. Anis, S. Areibi, M. Elmasry Design and optimization of multithreshold CMOS (MTCMOS) circuits. IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 22, no. 10, pp. 1324-1342, Oct. 2003

17. K. Usami, N. Kawabe, M. Koizumi, K. Seta, T. Furursawa Automated selective multi-threshold design for ultra-low standby applications. Proc. ACM/IEEE Int. Conf. Low Power Electron Des., Monterey, CA, 2002, pp. 202-206

18. M. Johnson, D. Domasekhar, L.-Y. Choiu, K. Roy Leakage control with efficient use of transistor stack in single threshold CMOS. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 1, pp. 1-5, Feb. 2002

19. C. Kim, K. Roy Dynamic Vth scaling scheme for active leakage power reduction. Proc. IEEE Des., Autom. Test Eur. Conf., Paris France, 2002, pp. 163-167

20. V. Khandelwal, A. Srivastava Active mode leakage reduction using fine-grained forward body biasing strategy. Proc. ISLPED, pp. 150-155

21. P. Gupta, A. B. Kahng, P. Sharma, D. Sylvester Gate-length biasing for runtime-leakage control. IEEE Trans. Comput.-Aided Design Integr. Circuit Syst., vol. 25, no.8, pp. 1475-185, Aug. 2006

22. S. Shah, P. Gupta, A. Khang Standard cell library optimization for leakage reduction. Proc. 43rd ACM/IEEE Des. Autom. Conf., Jul. 24-28, 2006, pp. 983-986

23. T. Skotnicki, G. Merckel, T. Pedron The voltage-doping transformation: A new approach to the modeling of MOSFET short-channel effects. IEEE Electron Device Lett., vol. 9, no. 3, pp. 109-112, Mar. 1988

24. T. Skotnicki, G. Merckel, T. Pedron A new punchthrough current model based on voltage-doping transformation. IEEE Trans. Electron Devices, vol. 35, no. 7, pp. 1076-1086, Jul. 1988

25. T. Skotnicki,F. Boeuf Optimal scaling methodologies and transistor performance. High-K Gate Dielectric materials for VLSI MOSFET Applications, H. Huff and D. Gilmer, Eds. New York: Springer-Verlag, 2005, ch. 6, pp. 143-194

26. R. Gwoziecki, T. Skotnicki Physics of the subthreshold slop Initial improvement and final degradation in short CMOS devices. Proc. 32nd Eur. Solid-State Devices Res. Conf., 2002, pp. 639-642

27. A. Pouydebasque, C. Charbuillet, R. Gwoziecki, T. Skotnicki Refinement of the subthreshold slope modeling in advanced bulk CMOS devices. IEEE Trans. Electron Devices, vol. 54, no. 10, pp. 2723-2729, Oct. 2007

28. J. Kao, A. Chandrakasan MTCMOS sequential circuits. Proc. ESSCIRC, 2001, pp. 317-320.

29. B. Calhoun, F. Honore, A. Chandrakasan Desing methodology for fine-grained leakage control in MTCMOS. Proc. ISLPED, 2003, pp. 104109.

30. L. T. Clark, M. Morrow, W. Brown Reverse-body bias and supply collapse for low effective standby power. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 9, pp. 947-956, Sep. 2004.

31. T. Skotnicki, F. Boeuf CMOS technology roadmap Approaching uphill specials. Proc. 9th Int. Symp. Silicon Mater Sci. Technol., H. R. Huff, I. Fabry and S. Kishino, Eds., vol. 2002-2, pp. 720-734.

32. R. Cavin, V. Zhirnov Future devices for information processing. Proc. ESSDERC, 2005, pp. 7-12.

33. A. Chandrakasan, S. Sheng, R. W. Brodersen Design consideration for a future multimedia terminal. WINLAB Workshop Oct. 1990.

34. D. Benson Silicon multichip modules. Hot Chips Symp., Santa Clara, CA, Aug.1 990

35. A. Chandrakasan, S. Sheng, R. W. Brodersen Low-Power CMOS Digital Design. Trans. IEEE Solid-State Circuits, vol. 27, no. 4, pp. 473 -485, Apr. 1992.

36. D. Green Modern Logic Design. Reading MA, Addison-Wesley, 1986, p. 15-17.

37. H. J. M. Veendrick Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits. IEEE J. Solidstate Circuits, vol. SC-19, pp. 468-473, Aug. 1984.

38. G. Jacobs, R. W. Brodersen A fully asynchronous digital signal processor using self-timed circuits. IEEE J. Solid-State Circuits, vol. 25, pp. 1526-1537, Dec. 1990.

39. M. Shoji CMOS Digital Circuits Technology. Englewood Cliffs, NJ, Prentice-Hall, 1988.

40. C. Piguet. J.-M. Masgontly, P. Mosch, C. Arm, V. Von Kaenel Low-Power low-voltage standard cell libraries. Proc. Low Voltage Low Power Workshop, ESSCIRC'95, Lille, France, Sept. 1995.

41. C. Piguet, J.-M. Masgonly, S. Cserveny, E. Dijkstra Low-power low-voltage digital CMOS cell design. Proc. PATMOS'94, Barcelona, Spain, Oct. 1994, pp. 132-139.

42. J. M. Rabaey Digital Integrated Circuits. Prentice Hall, Englewood Cliffs, NJ, 1996.

43. Савельев И. В. Курс общей физики. В 3 т. Т.З Оптика, атомная физика, физика атомного ядра и элементарных частиц М. Наука. 1970.-537с.

44. Bennet С. Н. The thermodynamics of copmutations a review. Int. J. ofTheor. Phys. 1982, vol. 21, N 12, p. 905-945.

45. Landauer R. Information is physical. Physics Today, 1991, vol. 44, p. 23-29.

46. Landauer R. Dissipation and noise immunity in computation and communication. Nature, 1988, vol. 335, p. 779-784.

47. Bennet С. H. Logical reversibility of computation IBM J. of Res. & Dev. 1973, vol. 17, N 11, p. 525-532.

48. Bennet С. H. Time/space tade-offs for reversible copmutation SIAM J. Comput. 1989, vol. 18, N 4, p. 766-776.

49. Валиев К. А., Старосельский В. И. Модель и свойства термодинамически обратимого логического вентиля Микроэлектроника. 2000, Т. 29, N 2, стр. 3-18.

50. Ferrari A. Adiabatic Switching. Adiabatic Logic. 1966.

51. Старосельский В. И. Адиабатическая логика (обзор) Микроэлектроника, 2002, том 31, N 1, стр. 42-65.

52. Younis S.G., Knight Т.F. Asimptotically zero energy split-level charge recovery logic Proc. of 1994 Int. Workshop on Low Power Design. April 1994, p. 177-182.

53. Старосельский В. И. Реверсивная логика Микроэлектроника. 1999, Т. 28, N 3, стр. 213-222.

54. К. Фрике Вводный курс цифровой электроники М.Техносфера, 2003.-430С.

55. Denker J. S. A review of Adiabatic computing. Proc. Symp. on Low Power Electronics, San Diego, Oct. 1994.

56. Athas W.C., Svensson J., Koller J.G., Tzartzanis N., Choi E.Y. Low-power digital system on adiabatic-switching principles. IEEE Trans, on VLSI Syst. Dec. 1994. V. 2 Num. 4, pp. 398-408.

57. Denker J.S. A review of Adiabatic computing. Proc. of Symp. on Low Power Electronics, San Diego, Oct. 1994

58. Maksimovic D., Oklobdzija V.G. Clocked CMOS adiabatic logic with integrated single-AC power-supply: experimental results. 21st Eur. SSC Conf., ESSSCIRC'95, Lille, France, Sept. 1995.

59. Maksimovic D., Oklobdzija V.G. Integrated power Clock Generators for Low-Energy Logic. 26st Annual IEEE Power Electronics Specialists Conf., Atlanta, June 1995.

60. Dickinson G., Denker J.S. Adiabatic dynamic logic. "IEEE J. of Solid St. Circ. 1995. vol. 30, num. 3, pp. 311 315.

61. Seitz C.L., Frey A.H., Mattisson S., Rabin S.D., Speck D.A., van de Snepscheut J.L.A. Hot-clock nMOS. Chapel Hill Conf. on VLSI. Rockville, MD // Computer Sience Press, 1985, pp. 1-17.

62. Himman R.T., Schlecht M.F. Recovery energy logic a highly efficient alternative to today's logic circuits. Proc. IEEE Power Electron. Specialists Conf. Record, 1993, pp. 17-26.

63. Himman R.T., Schlecht M.F. Recovery energy logic: f single clock AC logic. IWLPD'94 Workshop Proceedings. 1994. pp. 153-158.

64. Курносов А. И., Юдин В. В. Технология производства полупроводни-ковых приборов и интегральных микросхем. М.: Высшая школа, 1986. - 386 с.

65. Матсон Э. А. Конструирование и технология микросхем. Минск, Вышэйшая школа, 1989. 207 с.

66. Березин А. С., Мочалкина О. Р. Технология и конструирование инте-гральных микросхем. М. Радио и связь, 1983. - 232 с.

67. Достанко А. П. Технология интегральных схем. Минск, Высшая шко-ла, 1982. - 206 с.

68. Петросян О. В., Козырь И. Я., Коледов Л. А. и др. Схемотехника БИС постоянных запоминающих устройств М.: Радио и связь, 1987. -304 с.

69. Черняев В. Н. Технология производства интегральных микросхем и микропроцессоров. -М.: Радио и связь, 1987. -464 с.

70. Жан М. Рабаи, Ананта Чандракасан, Боривож Николич. Цифровые интегральные схемы. Методология проектирования / Digital Integrated Circuits. — 2-е изд. — М.: Вильяме. 2007. — 912 с.

71. Преснухин А.Н., Воробьев Н.В., Шинкевич А.А. Расчет элементов цифровых устройств. М.: Высш. шк., 1991, 344 с.

72. Фелпс Р. 750 практических электронных схем: справочное руководство. -М.: Мир, 1986, 584 с.

73. Christoph Demi Input and Reverse Transfer Capacitance Measurement of MOS-Gated Power Transistors under High Current Flow. Infeneon Technologies.

74. Хоровиц П., Хилл У. Искусство схемотехники, изд-е 5. М.: Мир, 1998.-550с.

75. Жан М. Рабаи, Ананта Чандракасан, Боривожич Николич Цифровые интегральные схемы. Методология проектирования. Вильяме 2007.-120с.

76. Преснухин А.Н., Воробьев Н.В., Шинкевич A.A. Расчет элементов цифровых устройств. М.: Высш. шк., 1991 .-240с.

77. Premjeet Chahal, Rao R. Tummall, Mark G. Allen, Madhavan Swaminathan. A Novel Integrated Decoupling Capacitor fro MCM-L Technology. IEEE trans, on components, packaging, and manufacturing technology part B, vol. 21, No 2, May 1998.

78. Разевиг В.Д. Система проектирования OrCAD 9.2 М.:Солон-Р 2003 г., 528 стр.

79. Архив моделей BSIM Электронный ресурс. Режим доступа: http://www-device.eecs.berkeley.edu/~bsim3/archftp.html, свободный, Загл. с экрана. Яз. англ.

80. Р. Г. Хусейнов Модель возбуждения тиристорного эффекта в КМОП ИС при воздействии импульсного ионизирующего излучения или отдельной тяжелой заряженной частицы. Научная сессия МИФИ. Том 1. 2004.

81. Уейкерли дж. Ф Проектирование цифровых устройств. В 2т, Т. 1 М.:Постмаркет 2002.- 712с.

82. А.Л. Стемпковский, C.B. Гаврилов, A.J1. Глебов Методы логического и логико-временного анализа цифровых КМОП СБИС. М.:Наука 2007.- 235с.

83. Справочные материалы о пакете TCAD Электронный ресурс. Режим доступа: http://www.synopsys.com/Tools/TCAD/Pages/default.aspx, свободный, Загл. с экрана. Яз. англ.

84. Официальная информация о научно-производственном центре "Техцентр" Электронный ресурс. Режим доступа: http://www.tcen.ru, свободный, Загл. с экрана. Яз. русс. англ.

85. Официальный сайт зеленоградского инновационно-технологического центра Электронный ресурс. Режим доступа: http://www.zitc.ru/index.php/ru/infrastructure.html, свободный, Загл. с экрана. Яз. русс. англ.

86. Официальный сайт компании приозводителя измерительного оборудования CsacdeMicrotech Электронный ресурс. Режим доступа: www.cmicro.com, свободный. Загл. с экрана. Яз. англ.

87. Спецификация измерительной станции Agilent ВТ1500А Электронный ресурс. Режим доступа:http://www.home. agilent.com/agilent/product.jspx?cc=RU&lc=rus&ckey=582565& nid=-33786.536905585.00&id=582565&pselect=SR.GENERAL, свободный. Загл. с экрана. Яз. англ.

88. Демонстрационные материалы програмного пакета EasyEXPERT Электронный ресурс. режим доступа:http://www.home.agilent.com/agilent/editorial.jspx?cc=RU&lc=rus&ckey=865725 &nid=-34001.695943.02&id=865725, свободный. Загл. с экрана. Яз. англ.

89. Спецификация генератора Agilent 33220А Электронный ресурс. Режим доступа:http://www.home.agilent.com/agilent/product.jspx?cc=RU&lc=rus&ckey=127539& nid=-536902257.536883183.00&id=127539&pselect=SR.GENERAL, свободный.Загл. с экрана. Яз. англ.

90. Спецификация источника питания Agilent Е3645А Электронный ресурс. Режим доступа:http://www.home.agilent.com/agilent/product.jspx?cc=RU&lc=rus&ckey=836879&nid=-35718.384192.00&id=836879&pse1ect=SR.GENERAL, свободный. Загл с экрана. Яз. англ.

91. Спецификация осциллографа Tektronix DP04000B Электронный ресурс. Режим доступа: http://www.tek.com/products/oscilloscopes/mso4000, свободный.Загл с экрана. Яз. англ.

92. Описание возможностей измерительной платформы CascadeMicrotech Электронный ресурс. Режим доступа: http://ntnk.ru/cascade/RFmicrowave.pdf, свободный.Загл с экрана. Яз. русс.

93. Методика работы с программным обеспечением EasyEXPERT Электронный ресурс. Режим доступа:http://cp.literature.agilent.com/litweb/pdf/5989-5167EN.pdf, свободный.Загл с экрана. Яз. англ.

94. Мейзда Ф. Электронные измерительные приборы и методы измерений М.: Мир, 1990. -535с.

95. Мирский Г.Я. Электронные измерения. Радио и связь. 1986, 440 стр.

96. Кузнецов В. А., Долгов В. А., Коневских В. М. и др. Измерения в электронике: Справочник. М.:Энергоатомиздат. 1987.-512с.