автореферат диссертации по радиотехнике и связи, 05.12.04, диссертация на тему:Синтез аналоговых фильтров на элементарных звеньях для радиотехнических систем

кандидата технических наук
Мартьянов, Павел Сергеевич
город
Москва
год
2009
специальность ВАК РФ
05.12.04
цена
450 рублей
Диссертация по радиотехнике и связи на тему «Синтез аналоговых фильтров на элементарных звеньях для радиотехнических систем»

Автореферат диссертации по теме "Синтез аналоговых фильтров на элементарных звеньях для радиотехнических систем"

На правах рукописи

Мартьянов Павел Сергеевич

СИНТЕЗ АНАЛОГОВЫХ ФИЛЬТРОВ НА ЭЛЕМЕНТАРНЫХ ЗВЕНЬЯХ ДЛЯ РАДИОТЕХНИЧЕСКИХ СИСТЕМ

Специальность 05.12.04 - «Радиотехника, в том числе системы и устройства

телевидения»

Автореферат диссертации на соискание ученой степени кандидата технических наук

О

Москва-2010

003492804

Работа выполнена на кафедре радиоэлекгронные и телекоммуникационные устройства и системы Государственного образовательного учреждения Московский институт электроники и математики (МИЭМ)

Научный руководитель: кандидат технических наук, доцент Мишин Геннадий Тимофеевич

Официальные оппоненты: доктор технических наук, доцент Тумковский Сергей Ростиславович

кандидат технических наук, Сулейманов Сергей Павлович

Ведущая организация: ФГУП НИИАА им. Акад. В.С. Семенихина

Защита диссертации состоится 18 марта 2010 г. на заседании совета по защите докторских и кандидатских диссертаций Д 212.133.06 при МИЭМ по адресу: 109028, Москва, Б. Трехсвятительский пер., д.З.

С диссертацией можно ознакомиться в библиотеке Московского государственного института электроники и математики (технического университета). •

Автореферат разослан Ж» ог 2010 г.

Ученый секретарь

диссертационного совета

Грачев Н.Н.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность

На сегодняшний день фильтры электрических сигналов применяются практически в любых радиоэлектронных устройствах, где требуется обработка сигналов, в частности в спектральном анализе, обработке изображений, обработке видео, обработке речи и звука и многих других приложениях. В большинстве случаев в этих устройствах применяются цифровые фильтры, поскольку они высокоточные, обладают гибкостью настройки, компактны и удобны в применении. Однако они имеют свои недостатки в сравнении с аналоговыми фильтрами:

1. Трудность работы с высокочастотными сигналами. Полоса частот ограничена частотой Найквиста, равной половине частоты дискретизации сигнала. Поэтому для высокочастотных сигналов применяют аналоговые фильтры.

2. Трудность работы в реальном времени — вычисления должны быть завершены в течение периода дискретизации.

3. На низких частотах возможно появление выбросов или шумов.

4. Для большой точности и высокой скорости обработки сигналов требуется не только мощный процессор, но и дополнительное, возможно дорогостоящее, аппаратное обеспечение в виде высокоточных и быстрых ЦАП и АЦП.

Поэтому аналоговые фильтры актуальны в диапазоне высоких частот, используют при выборе определённой радиостанции в радиоприёмниках, в устройствах воспроизведения звука, где необходимо разделение звукового сигнала перед воспроизведением, а также высокие требования к выходному сишалу.

Что касается аналоговых фильтров, то для их синтеза в большинстве случаев используется метод полиномиальной аппроксимации. Данный метод предполагает аппроксимацию по известным полиномам Баттреворта, Чебьппева, эллиптическая аппроксимация. Недостатки такого метода:

1. Сложность получаемого полинома.

2. Разрывность, нелинейность полинома.

3. Сложность при проектировании фильтров высоких порядков.

Выход из создавшегося положения наметился в работах Мишина Г.Т., Прокимова П.А., Джонса Д.Т., где была предложена попытка создания универсального метода синтеза аналоговых устройств. За теоретическую базу аналоговой микроэлектроники принята математическая теория систем дифференциальных уравнений в обобщенной форме. На основании анализа систем дифференциальных уравнений, были сформированы элементные звенья аналоговой микроэлектроники (предлагаемая элементная база микроэлектроники), которые состоят всего из няти элементарных звеньев: интегрирующего, дифференцирующего, сложения / вычитания, масштабирования (умножения / деления), разделения/соединения. На основании этих звеньев был сформирован предлагаемый метод синтеза аналоговых фильтров для адаптивной фильтрации, которые используется в системах связи.

Предлагаемый метод имеет следующие достоинства:

1. Простые процедуры расчета схем фильтров.

2. Линейность получаемой передаточной функции.

3. На основе вновь введенных элементарных звеньев, возможно, сформировать как МАБИС (матричные аналоговые большие интегральные схемы), так и ПАИС (программируемые аналоговые интегральные схемы). Такая возможность обусловлена малочисленностью элементов в базе и позволяет спроектировать уникальный чин для данной МАБИС, или единый перспрограммируемый элемент для 11АИС.

4. Сокращает время проектирования, и изготовления фильтра, что удешевляет процесс изготовления в условиях мелкосерийного производства.

Те большие возможности, которые открываются введением предлагаемой элементной базы (элементарные звенья), требуют обширной предварительной работы, связанной с исследованием особенностей проектирования различных типов аналоговых устройств. Настоящая работа посвящена разработке метода, алгоритма и процедуры синтеза аналоговых пассивных фильтров. Это и определяет актуальность работы. Цель и основные задачи работы

Целью настоящей работы является создание метода синтеза радиоэлектронных аналоговых фильтров (применяемых в адаптивных фильтрах для систем связи), который позволит сократить время проектирования и их изготовления.

Основными задачами, решение которых необходимо для достижения поставленной цели, являются:

• Разработка метода синтеза аналоговых фильтров, состоящих из элементарных звеньев микроэлектроники.

• Разработка методики синтеза фильтров.

• Разработка моделей элементарных звеньев микроэлектроники на языке УНБЬ в САПР МАХ РШв+П.

• Разработка методики проектирования фильтров на основе программируемых интегральных микросхем для использования их в системах связи.

• Экспериментальны исследования фильтров на предлагаемой элементной базе.

• Разработка рекомендаций по использованию полученных результатов в системах связи.

Решение этих задач имеет большое значение для дальнейшего совершенствования процесса проектирования аналоговых устройств, для создания отечественных систем, конкурентоспособных на мировом рынке.

В связи с тем, что элементарные звенья имеют не сложную структурно-принципиальную схему, в реальном производстве они могут быть реализованы па операционных усилителях по технологии МОП структур или технологии биполярных структур.

На защиту выносятся:

• Метод синтеза передаточной функции фильтра по заданным параметрам.

• Метод синтеза структурно - принципиальной схемы фильтра по заданной математической модели.

• Методика реализации фильтров с использованием элементарных звеньев микроэлектроники.

• Методика проведения экспериментальных исследований по реализации фильтров на ПЛИС.

Методы исследования

Для решения поставленных выше задач в качестве методов исследования использовались: теория систем дифференциальных уравнений; метод структурных матриц; теория систем автоматического управления; численные методы решения систем линейных дифференциальных уравнений; машинные методы анализа, синтеза, моделирования и верификации электронных схем; анализ и статистическая обработка полученных экспериментальных результатов.

Научная новизна

При решении задач, поставленных в диссертационной работе, впервые получены следующие новые научные результаты:

• Разработан метод синтеза аналоговых фильтров с использованием элементарных звеньев микроэлектроники, особенность которого заключается в том, что он состоит из двух взаимосвязанных частей, первая часть это метод получения математической модели фильтров по заданным параметрам фильтра, вторая - метод получения структурно-принципиальной схемы фильтра по заданной математической модели.

• Разработаны модели элементарных звеньев микроэлектроники на языке VHDL.

• показана возможность реализации фильтров в среде системы САПР Altera MAX+PLUSII на основе ПЛИС аналогичных фильтрам, полученным в среде традиционной элементной базы.

Практическое использование новых научных результатов, полученных в работе, позволит расширить номенклатуру и улучшить качественные показатели фильтров, встраиваемых в МАБИС и ПАИС.

Практическая ценность работы состоит в том, что предложенный подход и разработанный метод синтеза аналоговых фильтров, позволяют в сжатые сроки, эффективно и с приемлемой точностью реализовать разработку конструкции аналоговых конкурентно способных фильтров, рассчитанных на реализацию в элементной базе применительно к МАБИС и ПАИС.

Полученные основные характеристики фильтров подтверждают, что фильтры из элементарных звеньев аналогичны соответствующим характеристикам фильтрам традиционной элементной базе.

Реализация и внедрение результатов работы. Предложенные в диссертационной работе методика синтеза аналоговых фильтров, программное, аппаратное и методическое обеспечение используются в промышленности на ФГУГ1 «Научно-исследовательский институт

электронно-механических приборов» (г. Пенза), в учебном процессе и при выполнении научно-исследовательских работ, проводимых на кафедре «Радиоэлектронные и телекоммуникационные устройства и системы» Московского государственного института электроники и математики (РТУиС МИЭМ). Материалы теоретических и экспериментальных исследований используются при изучении курса «Проектирование логических систем» на кафедре РТУиС МИЗМ.

Апробация результатов работы. Результаты работы докладывались и обсуждались на научно-технической конференции студентов, аспирантов и молодых специалистов (г. Москва, МИЭМ, 2007 г., 2008 г., 2009 г.), а также на научно-исследовательских семинарах кафедры «Радиоэлектронные и телекоммуникационные устройства и системы» МИЭМ с 2006 по 2009 г.

Публикации. По теме диссертации олубликовано 8 научных работ (из них 1 статья в журнале включенный в список ВАК) и одно учебное пособие.

Структура диссертации.

Работа состоит из 4 глав (первая глава - Обзор состояния и тенденций развития современных аналоговых фильтров в микроэлектронике, четвёртая глава - Обсуждения и выводы), содержит 143 страниц основного текста, 3 страниц списка литературы (72 наименований), !35 рисунков, 9 таблиц, 10 страниц приложений, содержащих 2 акта внедрения результатов диссертационной работы.

СОДЕРЖАНИЕ РАБОТЫ

Во введении дано обоснование актуальности темы диссертационной работы, определена направленность исследования работы.

В первой главе рассмотрены различные типы фильтров. Однако больший акцент делается на рассмотрении аналоговых пассивных фильтров с точки зрения возможности их использования в радиотехнических системах связи. Приводятся основные параметры аналоговых фильтров и их характеристики на конкретных примерах.

Далее в этой главе приведен анализ литературных источников, посвященный рассмотрению методов синтеза аналоговых фильтров с историческим очерком за последние десятилетия. Рассматриваются наиболее известные методы синтеза, которые применялись и применяются в настоящее время для реализации аналоговых фильтров. Среди наиболее доступных методов выделены методы аппроксимации полиномами Баттерворта, Чебышева, Бесселя, Кауэра-Золотарёва. Эти метод является наиболее общераспространенным при проектировании фильтров. Приведены основные достоинства и недостатки этих методов.

Рассматривается также метод синтеза фильтров с использованием оптимальной линейной фильтрации, данный метод применяется для следящих и наблюдательных систем связи. В заключении описания метода приводятся его достоинства и недостатки.

В настоящее время фильтры синтезируют с использованием САПР. В этой главе приведены программы для реализаций фильтров, такие как Micro - Сар 8, LC-Desigaer,

SystemView компании Elanix, Ansnt.exc под TURBO BASIC, описаны. возможности их применения и основные недостатки.

В конце главы на основании анализа рассмотренных материалов по синтезу аналоговых фильтров приводится заключение об актуальности представляемой к защите работы.

В первом параграфе второй главы формулируется цель, намечаются задачи работы и описываются используемые методы. Во втором параграфе проводится теоретическое обоснование метода синтеза аналоговых фильтров на элементарных звеньях. Данный параграф состоит из введения, в котором описываются теоретические предпосылки возникновения синтеза вообще и применение его к радиоэлектронным устройствам. Под синтезом в данной работе имеется в виду метод разработки или конструирования математической модели в виде передаточной функции из элементарных звеньев аналоговой микроэлектроники (интегрирующие звено, дифференцирующие звено, звено умножения/деления, звено сложения/вычитания, звено соединения/разъединения). Математические модели данных звеньев представлены в таблице 1.

Таблица 1

11нт егрирую щее звено

Описывается уравнением

Имеет

передаточную функцию

at

W{s) = -

Дифференцирующее звено

Описывается уравнением

Имеет

передаточную функцию

y{t)=k

du{t) , dt

W(s)-ks

'Звено

уыноження/деления

Описывается уравнением

Имеет

передаточную функцию

W(s)=k

Звено сложення/вычитания

—<<р~

'Згено соединения/разъединения

OQIfll...!!; вЯ!р„.ПI Ш>

* »1»

Y

А

«lai.sus fi..,«;

Предлагаемый метод состоит из двух этапов первый этап - синтез математической модели фильтра по заданным параметрам и второй этап - синтез структурно-принципиальной

схемы фильтра по заданной математической модели. Описывается сначала первый этап предлагаемого метода синтеза. Процедура синтеза на данном этапе состоит в отыскании по не формально заданным, первоначальным параметрам фильтра его структурной схемы или математической модели. Для решения таких задач необходимо предложить методы, позволяющие при некоторых первоначально заданных параметрах системы, характеристиках воздействий, ограничениях и взаимосвязях, определить структурную схему и математическую модель аналогового филь тра. Последние являются исходными данными для процедуры, описанной выше, которая позволяет построить структурно-функциональную схему и определить значения параметров разрабатываемого фильтра. Данный этап метода синтеза, основывается на теории структурных матриц предложенной Шатихиным Л.Г. Общая форма записи структурной матрицы системы уравнений, описывающий фильтр представлена на рис.1.

*2 ч 'к

Зц П.,

-а2, ал -э2г,

-Эп1 -а„2 Зпп Ьпк

Рис. 1. Структурная матргща системы филыпра После выполнения перемножения элементов структурной матрицы переходим к математической модели фильтра:

п к

7=1 /=1

Где /„...,/д, - полезные и паразитные сигналы; Х^.-.^Х м - вектора переменных состояний; а, Ь - элементы системы уравнений фильтра.

Последовательность выполнений действий при получении математической модели фильтра:

1. Определение типа и перечня требований к фильтру (полоса частот, уровень шума, г раничные частоты и т.д.).

2. Формирование крупноблочной структурной матрицы фильтра.

3. Разукрупнение и детализация крупноблочной матрицы фильтра (проставляются связи между элементами подсистемы фильтра).

4. Проверяется условие все ли координаты матрицы могут быть точно размещены по её столбцам, если нет, то переходим на пункт 3, если да, то выполняем пункт 4.

5. Формирование по структурной матрицы системы уравнений „фильтра, которая будет является его математической моделью.

Затем рассматривается второй этап метода (синтез структурно принципиальной схемы по заданной математической модели). Эта часть метода предполагает реализацию структурно-принципиальной схемы фильтра из элементарных звеньев микроэлектроники. В данной части метода используются теория систем дифференциальные уравнения в форме преобразования Лапласа. Математическую модель фильтра (1) разрешают относительно основных неизвестных и, используя преобразования, переходят к структурной схеме фильтра, которую в дальнейшем преобразуют в структурно-принципиальную схему фильтра рис.2.

х2 =Х,(1 + Аь25) + Х6

!1+кУ

х4 = х1 кь (1 + к^) + х, - х5ка (1 -г кг

(1)

Л, -

1 + кз

Где э - оператор Лапласа, кь, ко, кз — коэффициенты элементарных звеньев, Х1-Х6 -основные переменные математической модели фильтра.

Вход

" т - хз Т

к„3

ад Ъ

в

г+к.

Рис. 2, Структурно-принципиальная схема фильтра 9

Последовательность выполнений действий при получении математической модели фильтра:

1. Исходная математическая модель фильтра в виде системы дифференциальных уравнений.

2. Имеет ли модель вид системы уравнений узловых потенциалов и форме преобразования Лапласа, если нет, то необходимо преобразовать форму Лапласа, если да то выполняется пункт 3.

3. Составление в матричной форме уравнений токов по закону Кирхгофа.

4. Составление уравнений токов.

5. Решение систему уравнений относительно основных переменных.

6. Формирование структурной схемы фильтра из формул основных переменных системы уравнений.

7. Разложение передаточных функций типовых звеньев структурной схемы на элементарные звенья.

8. Формирование структурно принципиальной схемы фильтра заменой типовых звеньев па элементарные.

Также в данной главе рассмотрен анализ-синтез аналоговых фильтров, который подразумевает получение из электрической принципиальной схемы фильтра математической модели (анализ) и получение из этой модели структурно-принципиальной схемы из элементарных звеньях (синтез).

Последовательность действий на этом этапе заключается в следующем:

1) но заданной схеме электрической принципиальной фильтра, используя метод узловых потенциалов, составляется матрица проводимости;

2) по полученной матрице составляют систему уравнений;

3) разрешают уравнения относительно основных (диагональных) элементов;

4) из полученных уравнений выводят передаточную функцию, раскладывают передаточную функцию сначала на типовые, а затем на элементарные звенья, из которых и составляют структурно-функциональную схему.

Структурно-принципиальная схема является аналогом и выполняет все функции схемы электрической принципиальной, но в другой элементной базе.

Предложенный метод анализа-синтеза исследования структур аналоговых фильтров может быть использован как для получения и соответствующего преобразования математических моделей схем к виду систем алгебраических уравнений в форме Лапласа, так и для формирования из элементарных и типовых звеньев аналоговой микроэлектроники

структурных схем фильтров. Для пояснения в данной главе приводятся два примера перехода от традиционной элементной базы на предлагаемую элементную базу.

В конце второй главы говорится, что для решения задач, приведенных в начале данной главы, необходимо проведение экспериментальных исследований, которые будут описаны в следующей главе.

В первом параграфе третьей главы обозначена цель эксперимента. Стоит напомнить, что она заключается в практической реализация методики синтеза аналоговых фильтров, построенных на элементарных звеньях микроэлекгроиики. Практическая реализация осуществляется на экспериментальной установке.

Для достижения поставленной цели в эксперименте необходимо решить следующие задачи:

• практически реализовать алгоритм синтеза математической модели фильтров по заданным параметрам;

• практически реализовать алгоритм синтеза структуры фильтров по заданной математической модели;

• разработать программные описания элементарных звеньев аналоговой микроэлектроники на языке VIIDL;

• изготовить опытные образцы аналоговых фильтров па базе ПЛИС в системе САПР Quartus II (MAX PLUS +11);

• исследовать опытные образ1гы и систематизировать результаты экспериментов;

• обработать результаты экспериментов и обосновать выводы о практическом значении данного метода для микроэлектроники.

Далее в этом параграфе описывается проведение эксперимента, приводится структурная схема экспериментальной установки (макет) рис.З.

Рис. 3. Структурная схема экспериментального стенда 11

Данное устройство является инструментом для создания фильтров. Отличие от имеющихся экспериментальных установок, состоит в том, что используются АЦП, ЦАП и ПЛИС, обладающие большим быстродействием и разрядностью. При проведении экспериментальных исследований была выполнена следующая работа:

• спроектирован, разработан и реализован экспериментальный стенд, структурная схема, которого показана на рис. 3;

• введен в проектирование математических моделей новых элементов язык описания логических схем VHDL (Very Hardware Description Languages);

• разработаны модели основных звеньев на языке VHDL;

• практически реализованы основные элементарные звенья микроэлектроники на ПЛИСах. Программные описания элементарных звеньев на языке VHDL позволили ввести в

модели реальное время и, тем самьм, разрешить проектирование в среде логических устройств реально-временных аналоговых устройств. Запрограммированные объекты реально изготавливались с помощью программатора. В качестве исходного элемента для проектируемых объектов были использованы не запрограммированные ПЛИС.

Экспериментальный стенд был использован для проведения серии экспериментов по проверке соответствия теоретическим исследованиям и определения реальных качественных показателей элементарных звеньев микроэлектроники. Методика проведения эксперимента по реализации элементарных звеньев изображена на рис. 4.

Рис. 4. Методика проведения эксперимента по реализации элементарных звеньев

Методика проведения экспериментальных исследований заключалась в том, что программа описания работы структурно-принципиальной схемы звена на языке описания устройств УНОЬ моделировалась в САПР МАХ РШБ+П. Потом, используя встроенный

программатор ALTERA исследуемое элементарное звено, реализовывался на ПЛИС EPF10K20TC экспериментальной установке. На вход макета с генератора подавались сигналы гармонических колебаний, с выхода макета обработанный сигнал поступает на цифровой осциллограф, на котором наблюдается изображение выходного сигнала.

В следующем параграфе производилась реализация элементарных звеньев в среде CAI111 ALTERA MAX PLUS +11 , используя язык профаммирования VHDL. После реализации программ звеньев в данной САПР производилась проверка на работоспособность каждого звена, используя компиляцию. Далее используя методику, описную выше, проводился эксперимент, на вход макета (экспериментальной установки) с генератора подавались различной формы сигналы (синусоидальный, меандр, пилообразный, треугольный) в диапазоне частот от 1 кГц до ЗООкГц, а с выхода снимались сигналы в виде осциллограмм, которые наблюдались на экране цифрового осциллографа.

На цифровом осциллографе наблюдалось изображение выходных тггегрироваипых, дифференцируемых, умноженное в заданное количество раз сигналов, что свидетельствует о правильности работы реализованных звеньев. Стоит отметить, что верхний предел рабочих частот экспериментального макета до 300 кГц, такой диапазон частот обусловлен быстродействием используемых АЦП и ЦАП. В связи с ограничением объема автореферата представить все осциллограммы с различными входными сигналами для каждого звена не представляется возможным. Осциллограммы с поданным на вход сигналом и виде меандра на вход интегратора и снятая с его выхода, представлена па рис 5.

А, В

: й : ; ; i ; ; i ......

.....-i •

i <j

i . . - , i • . • . \ . 1 i «мй

! ■ ; ■ * ■

\ : : : ¿r. : r\ : • : /•

-. ........... • , • jf' ■ ■ ■ J ■

■ Ч : У : : : : 4¡<r : : : : \: / ■ : : V* :

Рис. 5. Входной сигнал на частоте 10 кГц о виде меандра поданный на интегратор -1,

выходной сигнал - 2 13

На рис. 5 представлена осциллограмма:

• метка 1 - сигнал в виде прямоугольных импульсов (меандр), поданный с генератора на вход экспериментального стенда;

• метка 2 - сигнал, снятый с выхода устройства для эксперимента.

На полученной выше осциллограмме наблюдается интегрирование входного сигнала (меандр), поданного на вход макета. Следовательно, можно сделать вывод о том, что интегратор, реализованный на ПЛИС, работает правильно. Программа интегратора может быть использована для реализации аналоговых фильтров на ПЛИС, используя методику синтеза фильтров. Подобным образом были реализованы и все остальные элементарные звенья и проведены эксперименты по снятию выходных осциллограмм.

В следующем параграфе приведены результаты эксперимента по реализации фильтров на ПЛИС. Методика проведения эксперимента (методика синтез) заключалась в том, что программа описания работы структурно-принципиальной схемы фильтра на языке описания устройств VHDL моделировалась в САПР МАХ PLUS+П. Потом, используя встроенный программатор ALTERA фильтр, рсализовывался на ПЛИС EPF10K20TC экспериментальной установке рис.3. На вход макета с генератора подавались сигналы гармонических колебаний, на компьютере 2, используя встроенный АЧХометр, снимали выходную частотную характеристику. Данная методика представлена на рис. 6.

Компьютер 1

Программа описания структурно-принципиальной схемы фильтра на языке VHDL

(САПР ALTERA МАХ PLUS-HI)

Программатор ALTERA

Поступление сигналов на макет позволяющие реализовать на ПЛИС , фильтр.

Генератор Вход Макет

ЛАг (ПЛИС)

Выход

ЛАг

Блок

измеритель АЧХ

- Компьютер 2 АЧХометр

Осциллограф

Рис. 6. Методика проведения эксперимента Основными задачами этого этапа являются: анализ схемы фильтра и получение математической модели фильтра, синтез структурно-принципиальной схемы фильтра, программное описание структурно-принципиальной схемы на языке VIIDL в САПР ALTERA

МАХ РШЗ+П, реализация фильтра на ПЛИС стенда, снягае частотных экспериментальных характеристик.

Рассмотрим синтеза Т-образного фильтра нижних частот (ФНЧ), рис. 7 со следующими параметрами схемы: ИЭ = 1 кОм, Ь, 500 мкГн, Ь2 = 10 мкГн, и = 500 мкГп Е„=100 кОм, С, = 0.2 мкФ, У=№.

Рис. 7. Модель Т-образного фильтра нижних частот. Для возможности применения предлагаемого метода синтеза необходимо преобразовать схему исследуемого фильтра, используя метод Тевсшша-Нортона, добавив источник тока и сопротивление источника тока рис. 8.

? 12 3

Рис. 8. Преобразованная модель Т-образного фильтра нижних частот Далее составляется структурная матрица схемы фильтра, после чего переходят к системе уравнений, которая является математической моделью фильтра (2), затем разрешают данную систему относительно основных переменных:

Я,« . „ 1

О„1^5 +1

и,=и,-

11 + Ьу+5%Ь1С1

+£Д

-,иЛ=и2

1

+и4

(2)

■\ + бЬ}Он

Системы уравнений преобразуют в структурную схему системы фильтра, которая состоит из передаточных функций. Далее преобразовывая каждую передаточную функцию

структуры фильтра с \Vi.i - переходят к структурпо-принципиалыюй схеме фильтра составленной из элементарных звеньев микроэлектроники рис. 9

Далее эта схема фильтра программно реализуется на языке описания логических устройств У1ГОЬ с последующим перепрограммированием на ПЛИС макете. После проведения эксперимента по методике описанной выше получим экспериментальную амплитудно-частотную характеристику (АЧХ) исследуемого фильтра из элементарных звеньев, также была рассчитана и представлена характеристика модели фильтра, которая была рассчитана в Рврше рис. 10.

а,в

Рис. 10. Амплитудно-частотные характеристики фильтра нижних частот, /срезараСч ~ 950 ГЦ: Iсреза жеп ~ 1200 Гц Сплошная линия - экспериментальная А ЧХ, прерывистая -рассчитанная А ЧХ в программе Рэргсе

Экспериментальная логарифмическая АЧХ (ЛЛЧХ) для исследуемого фильтра имеет вид, представленный на рис. 11.

Рис. 11. Экспериментальная логарифмическая амплитудно-частотная характеристика

фильтра нижних частот

22.3-10.5

Показанная на рис. 11 ЛАЧХ характеризуется крутизной 1еа =-:-1—«80 дБ/дек,

3.96-3.797

что соответствует представлениям о крутизне Т — образного фильтра нижних частот 4-го порядка.

Рассмотрим синтез полосно-пропускающего фильтра (ПИФ), принципиальная схема (в виде модели), которого показана на рис. 12. Были выбраны следующие параметры схемы Кз = 100 Ом, К, = 50 Ом, С, = 0.15 мкФ, Ь, = 5 мГн, Ен= Ю0 кОм, У=1В.

1

Я*

Лз

XI

хи

Ин

Рис. 12. Модель полосно-пропускающего фильтра После дальнейших преобразований, которые были подобны преобразованиям в предыдущем примере, получим структурно-принципиальную схему фильтра изображенную на рис. 13.

Рис. 13. Структурно-принципиальная схема полосно-пропускающего фильтра. Экспериментальная амплитудно-частотная характеристика исследуемого фильтра из элементарных звеньев и частотная характеристика модели фильтра рассчитанного в Рэрке представлены на рис. 14.

А, Б

Рис. 14. Амплитудно-частотные характеристики полосно-пропускающего фильтра $ тжнрасч — 2.3 кГц, /ниж„ эксп — 3 кГц , У верх эксп ~~ 11 кГц, /еСрХ расч —13 кГц

Экспериментальная ЛАЧХ исследуемого фильтра имеет вид, представленный на рис. 15.

О 5200

-3? •30

¡-.ДО

Рис. 15. Экспериментальная логарифмическая амплитудно-частотная характеристика полосно-пропускающего филыпра

Для приведенных результатов на рис. 14 и 15 можно сказать, что доказана возмояаюсть практической реализации фильтров с характеристиками резонансного контура.

Остальные примеры были сделаны подобным образом. Выводы и заключения приводятся в четвертой главе.

В четвертой главе сравниваются расчетные результаты, полученные в программе Раргсе для фильтров на традиционной элементной базе с экспериментальными результатами для фильтров на предлагаемой элементной базе.

В результате сравнительного анализа результатов экспериментов, полученных в процессе измерений параметров моделей фильтров и образцов аналоговых фильтров различного типа и порядка, выполненных на базе не программированных ПЛИС, можно сделать следующие основные выводы:

1. Характеристики прототипов фильтров совпадают с расчетными характеристиками фильтров с погрешностью 15% для значений частоты среза и 6% для значений амплитуды, которые соответствуют этим частотам. Это позволяет рекомендовать фильтры на ПЛИС для дальнейшей отработки с целью передачи в производство.

2. Предложенная методика синтеза правомерна и позволяет изготавливать фильтры.

3. Технология изготовления аналоговых фильтров на базе не программированных корпусов ПЛИС путем программирования и прошивки в САПР Altera MAX +PLUS П позволяет наладить мелкосерийное производство фильтров без использования какого-либо специального оборудования.

В следующем параграфе оценивается технологическая погрешность. В микропроцессорных САУ квантование по уровню происходит в АЦП и в некоторых случаях -ЦАП. В этих преобразователях возникают специфические ошибки, на которые нужно обращать внимание.

Для нашего случая технологическая погрешность лежит в диапазоне-0.485mB<SX <0.485mB.

В заключении перечислены полученные при решении поставленных задач научные результаты.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

В процессе решения задач, поставленных в диссертационной работе, получены новые научные результаты:

• Разработан метод синтеза фильтров, состоящий из элементарных звеньев аналоговой микроэлектроники с использованием математических моделей в виде систем дифференциальных уравнений.

• Разработана методика синтеза фильтров для систем связи, которые позволяют разрабатывать модели фильтров.

• Разработаны модели основных элементарных звеньев микроэлектроники па языке VHDL;

• Разработана методика проектирования фильтров на основе ПЛИС в системе САПР Altera MAX+PL US II используемые в адаптивных фильтрах системах связи.

• Выполнены экспериментальные исследования по нахождению амплитудно-частотных характеристик фильтров. Приведены сравнения характеристик существующих фильтров с полученными характеристиками фильтров из элементарных звеньев.

• На основе проведённых исследований рекомендовано: использовать разработанную методику синтеза фильтров в условиях мелкосерийного производства.

Решенные в ходе выполнения диссертациотюй работы задачи позволяют говорить о том, что поставленная цель достигнута.

Список публикации

1. Мартьянов П.С., Мишин Г.Т. Обоснование процедуры синтеза аналоговых фильтров на новой элементной базе // В сб. «Электромагнитная совместимость и проектирование электронных средств:). - М.: МИЭМ, 2007. - С. 159-163 .

2. Мартьянов П.С. Синтез аналоговых фильтров с использованием математического моделирования // Научно-техническая конференция студентов, аспирантов и молодых специалистов МИЭМ. Тезисы докладов. -М.:МИЭМ. 2007. - С.286.

3. Мартьянов П.С. Проектирование цифровых устройств п среде Altéra МЛХ+PLUS 11: Методические указания. - М.: МИЭМ, 2007. - 13 с.

4. Мартьянов П.С. Синтез режекторного фильтра с использованием новой элементной базы // В сб. «Электромагнитная совместимость и проектирование электронных средств». -М.: МИЭМ, 2008.-С. 137-143 .

5. Мартьянов П.С. Экспериментальная база к работе па тему синтез аналоговых фильтров на новой элементной базе // Научно-техническая конференция студентов, аспирантов и молодых специалистов МИЭМ. Тезисы докладов. -М.:МИЭМ. 2008. - С.177.

6. Мартьянов П.С. Процесс синтеза фильтра низкочастотного диапазона на элементарных звеньях аналоговой микроэлектроники // В сб. «Электромагнитная совместимость и проектирование электронных средств». - М.: МИЭМ, 2009. - С. 137-143 .

7. Мартьянов U.C. Описание процесса синтеза фильтра на повой элементной базе // Научно-техническая конференция студентов, аспирантов и молодых специалистов МИЭМ. Тезисы докладов. - М.:МИЭМ. 2009. - С.186-187.

8. Мартьянов П.С. Синтеза фильтров на элементарных звеньях аналоговой микроэлектроники. - Технологии ЭМС. - 2009.-№4. С. 62 - 68.

Подписано в печать 10.02.2010. Формат 60x84/16. Бумага типографская № 2. Печать - ризография. Усл. печ. л. 1,31 Тираж 100 экз. Заказ 1058 .

Московский государственный институт электроники и математики 109028, Москва, Б.Трехсвятительский пер., 3.

Центр оперативной полиграфии (495) 916-88-04, 916-89-25

Оглавление автор диссертации — кандидата технических наук Мартьянов, Павел Сергеевич

Введение.

Глава 1. Обзор состояния и тенденций развития современных аналоговых фильтров в микроэлектронике.

Глава 2. Теоретческая часть.

2.1. Цель, задачи и методы.

2.1.1. Цель.

2.1.2. Задачи.

2.1.3.Метод ы.

2.2. Обоснование синтеза аналоговых фильтров на элементарных звеньях.

2.2.1. Введение в предлагаемый метод синтеза.

2.2.2. Метод синтеза математической модели фильтров по заданным целям.

2.2.3. Метод синтеза структурно - принципиальной схемы фильтра по математической модели.

2.2.4. Анализ - синтез аналоговых фильтров.

2.3. Моделирование аналоговых фильтров.

Глава 3. Экспериментальная часть.

3.1. Цель и описание эксперимента.

3.1.1. Цель эксперимента.

3.1.2. Описание устройства для эксперимента.

3.1.3. Описание эксперимента.

3.2. Реализация звеньев микроэлектроники.

3.2.1. Программное описание работы интегратора.

3.2.2. Реализация дифференциатора.

3.2.3. Реализация пропорционального звена (умножителя).

3.2.4. Реализация сумматора и вычитателя.

3.2.5. Реализация мультиплексора.

3.3. Эксперименты по реализации фильтров.

3.3.1. Методика реализации фильтров на ПЛИС макета.

3.3.2. Реализация фильтров из элементарных звеньев микроэлектроники.

Глава 4. Обсуждения и выводы.

4.1. Анализ полученных результатов.

4.2. Определение технологической погрешности измерений.

4.3. Выводы и заключение.

Введение 2009 год, диссертация по радиотехнике и связи, Мартьянов, Павел Сергеевич

Актуальность

На сегодняшний день фильтры электрических сигналов применяются практически в любых радиоэлектронных устройствах, где требуется обработка сигналов, в частности в спектральном анализе, обработке изображений, обработке видео, обработке речи и звука и многих других приложениях. В большинстве случаев в этих устройствах применяются цифровые фильтры, поскольку они высокоточные, обладают гибкостью настройки, компактны и удобны в применении. Однако они имеют свои недостатки в сравнении с аналоговыми фильтрами:

1. Трудность работы с высокочастотными сигналами. Полоса частот ограничена частотой Найквиста, равной половине частоты дискретизации сигнала. Поэтому для высокочастотных сигналов применяют аналоговые фильтры.

2. Трудность работы в реальном времени — вычисления должны быть завершены в течение периода дискретизации.

3. На низких частотах возможно появление выбросов или шумов.

4. Для большой точности и высокой скорости обработки сигналов требуется не только мощный процессор, но и дополнительное, возможно дорогостоящее, аппаратное обеспечение в виде высокоточных и быстрых ЦАП и АЦП.

Поэтому аналоговые фильтры актуальны в диапазоне высоких и низких частот, используют при выборе определённой радиостанции в радиоприёмниках, в устройствах воспроизведения звука, где необходимо разделение звукового сигнала перед воспроизведением, а также высокие требования к выходному сигналу.

Что касается аналоговых фильтров, то для их синтеза в большинстве случаев используется метод полиномиальной аппроксимации. Данный метод предполагает аппроксимацию по известным полиномам Баттерворта, Чебышева, эллиптическая аппроксимация. Недостатки такого метода:

1. Сложность получаемого полинома.

2. Разрывность, нелинейность полинома.

3. Сложность при проектировании фильтров высоких порядков.

Выход из создавшегося положения наметился в работах Мишина Г.Т., Прокимова П.А., Джонса Д.Т., где была. предложена попытка создания универсального метода синтеза аналоговых устройств. За теоретическую базу аналоговой микроэлектроники принята математическая теория систем дифференциальных уравнений в обобщенной форме. На основании анализа систем дифференциальных уравнений, были сформированы звенья аналоговой микроэлектроники (предлагаемая элементная база микроэлектроники), которые состоят всего из пяти элементарных звеньев: интегрирующего, дифференцирующего, сложения / вычитания, масштабирования (умножения / деления), разделения/соединения. На основании этих звеньев был сформирован предлагаемый метод синтеза аналоговых фильтров для адаптивной фильтрации, которые используется в системах связи. Предлагаемый метод имеет следующие достоинства:

1. Простые процедуры расчета схем фильтров.

2. Линейность получаемой передаточной функции.

3. На основе вновь введенных элементарных звеньев, возможно, сформировать как МАБИС (матричные аналоговые большие интегральные схемы), так и ПАИС (программируемые аналоговые интегральные схемы). Такая возможность обусловлена малочисленностью элементов в базе и позволяет спроектировать уникальный чип для данной МАБИС, или единый перепрограммируемый элемент для ПАИС.

4. Сокращает время проектирования и изготовления фильтра, что удешевляет процесс изготовления в условиях мелкосерийного производства.

5. Отсутствие индуктивностей, что позволяет значительно уменьшить габариты фильтра. Те большие возможности, которые открываются введением предлагаемой элементной базы (элементарные звенья), требуют обширной предварительной работы, связанной с исследованием особенностей проектирования различных типов аналоговых устройств. Настоящая работа посвящена разработке метода, алгоритма и процедуры синтеза аналоговых пассивных фильтров. Это и определяет актуальность работы. Цель и основные задачи работы

Целью настоящей работы является создание метода синтеза радиоэлектронных аналоговых фильтров (применяемых в адаптивных фильтрах для систем связи), который позволит сократить время проектирования и их изготовления.

Основными задачами, решение которых необходимо для достижения поставленной цели, являются:

• Разработка метода синтеза аналоговых фильтров, состоящих из элементарных звеньев микроэлектроники.

• Разработка методики синтеза фильтров.

• Разработка моделей элементарных звеньев микроэлектроники на языке VHDL в САПР MAX PLUS+II.

• Разработка методики проектирования фильтров на основе программируемых интегральных микросхем для использования их в системах связи.

• Экспериментальные исследования фильтров на предлагаемой элементной базе.

• Разработка рекомендаций по использованию полученных результатов в системах связи.

Решение этих задач имеет большое значение для дальнейшего совершенствования процесса проектирования аналоговых устройств, для создания отечественных систем, конкурентоспособных на мировом рынке.

В связи с тем, что элементарные звенья имеют не сложную структурно-принципиальную схему, в реальном производстве они могут быть реализованы на операционных усилителях по технологии МОП структур или технологии биполярных структур.

На защиту выносятся:

• Метод синтеза передаточной функции фильтра по заданным параметрам.

• Метод синтеза структурно - принципиальной схемы фильтра по заданной математической модели.

• Методика реализации фильтров с использованием элементарных звеньев м икр оэ лектр оники.

• Методика проведения экспериментальных исследований по реализации фильтров на ПЛИС.

Методы исследования

Для решения поставленных выше задач в качестве методов исследования использовались: теория систем дифференциальных уравнений; метод структурных матриц; теория систем автоматического управления; численные методы решения систем линейных дифференциальных уравнений; машинные методы анализа, синтеза, моделирования и верификации электронных схем; анализ и статистическая обработка полученных экспериментальных результатов.

Научная новизна

При решении задач, поставленных в диссертационной работе, впервые получены следующие новые научные результаты:

• Разработан метод синтеза аналоговых фильтров с использованием элементарных звеньев микроэлектроники, особенность которого заключается в том, что он состоит из двух взаимосвязанных частей, первая часть это метод получения математической модели фильтров по заданным параметрам фильтра, вторая - метод получения структурно-принципиальной схемы фильтра по разработанной математической модели.

• Разработаны модели элементарных звеньев микроэлектроники на языке VHDL.

• Показана возможность реализации фильтров в среде системы САПР Altera blAX+PLUS II на основе ПЛИС аналогичных фильтрам, полученным в среде традиционной элементной базы.

Практическое использование новых научных результатов, полученных в работе, позволит расширить номенклатуру и улучшить качественные показатели фильтров, встраиваемых в МАБИС и ПАИС.

Практическая значимость работы состоит в том, что предложенный подход и разработанный метод синтеза аналоговых фильтров, позволяют в сжатые сроки, эффективно и с приемлемой точностью реализовать разработку конструкции аналоговых конкурентно способных фильтров, рассчитанных на реализацию в элементной базе применительно к МАБИС и ПАИС.

Полученные основные характеристики фильтров подтверждают, что фильтры из элементарных звеньев аналогичны соответствующим характеристикам фильтрам на традиционной элементной базы.

Реализация и внедрение результатов работы. Предложенные в диссертационной работе методика синтеза аналоговых фильтров, программное, аппаратное и методическое обеспечение используются в промышленности на ФГУП «Научно-исследовательский институт электронно-механических приборов» (г. Пенза), в учебном процессе и при выполнении научно-исследовательских работ, проводимых на кафедре «Радиоэлектронные и телекоммуникационные устройства и системы» Московского государственного института 5 электроники и математики (РТУиС МИЭМ). Материалы теоретических и экспериментальных исследований используются при изучении курса «Проектирование логических систем» на кафедре РТУиС МИЭМ.

Апробация результатов работы. Результаты работы докладывались и обсуждались на научно-технической конференции студентов, аспирантов и молодых специалистов (г. Москва, МИЭМ, 2007 г., 2008 г., 2009 г.), а также на научно-исследовательских семинарах кафедры «Радиоэлектронные и телекоммуникационные устройства и системы» МИЭМ с 2006 по 2009 г.

Публикации. По теме диссертации опубликовано 8 научных работ (из них 1 статья в журнале включенный в список ВАК) и одно учебное пособие.

Структура диссертации. Работа состоит из 4 глав, содержит 146 страниц основного текста, 3 страницы списка литературы (72 наименований), 135 рисунков, 9 таблиц, 10 страниц приложений, содержащих 2 акта внедрения результатов диссертационной работы.

Заключение диссертация на тему "Синтез аналоговых фильтров на элементарных звеньях для радиотехнических систем"

4.3. Выводы и заключение

В итоге проведенной работы были выполнены следующие задачи:

• Создана теоретическая база синтеза аналоговых фильтров, в соответствии с рекомендациями, приведенных в книге Г.Т.Мишина "Современная аналоговая электроника";

• Разработан алгоритм синтеза математической модели фильтров по априорно заданным параметрам для систем связи;

• Разработан алгоритм синтеза структуры фильтра по заданной математической модели;

• Разработан и изготовлен макет устройства для проведения экспериментов по практической реализации аналоговых фильтров на базе ПЛИС;

• Создана процедуры синтеза аналоговых фильтров, спроектированных на новой элементной базе аналоговой микроэлектроники, которая включает интегратор, дифференциатор, сумматор (сложитель/вычитатель), звено масштабирования (умножитель/делитель).

• Обоснована необходимость использования при разработке математических моделей базовых элементарных звеньев микроэлектроники языка описания и программирования логических схем VHDL (Hardwere Description Languages);

• Разработаны программы моделей основных звеньев микроэлектроники на языке VHDL;

• Изготовлены опытные образцов аналоговых фильтров на основе ПЛИС в системе САПР Altera MAX+PLUSIIиспользуемые в адаптивных фильтрах системах связи;

• Проведены эксперименты, с целью проверки и подтверждения основных положений разработанной теории аналоговых фильтров на новой элементной базе (звенья ТАУ).

• Результаты эксперимента обработаны и обоснованы, написаны выводы о практическом значении данного метода для микроэлектроники;

• Реализована процедура синтеза аналоговых фильтров, спроектированных на новой элементной базе аналоговой микроэлектроники. Все базовые звенья реализованы программно в среде языка VHDL, промоделированы на САПР MAX PLUS+II и реализованы на микросхеме ПЛИС ALTERA FPF10K20TC144 узла развития.

144

Решенные в ходе выполнения диссертационной работы задачи позволяют говорить о том, что поставленная цель достигнута.

Преимущества данного метода

Предложенная методика синтеза фильтров электрических сигналов на новой элементной базе решает задачу синтеза фильтров, которая разделена на: задачу синтеза передаточной функции по заданным параметрам и задачу синтеза структурной схемы по передаточной функции.

Общепринятым способом аппроксимации АФЧХ таких фильтров является аппроксимация с использованием полиномов Баттерворта, Чебышева, Кауэра-Золотарева и Бесселя соответствующего порядка, однако этот метод достаточно труднореализуем при проектировании фильтров выше второго порядка, к тому же метод не обладает достаточной точностью.

Предлагаемый метод базируется на основных положениях аналоговой микроэлектроники, математической базой которых являются теория дифференциальных уравнений и систем дифференциальных уравнений в обобщенной форме [65, 66, 67].

Основным преимуществом предлагаемого синтеза фильтров является тот факт, что полиномиальная аппроксимация реальных амплитудно-частотных (АЧХ) и фазо-частотных характеристик (ФЧХ) фильтров для фильтров любого порядка исключается из процесса синтеза. Креативный анализ математических основ микроэлектроники и автоматики позволил сформировать новый элементный базис электроники, включающий всего, пять элементарных звеньев: интегрирующее, дифференцирующее, сложения / вычитания, масштабирования (умножения / деления), разделения / соединения. Переход к новому элементному базису позволяет в полной мере использовать достижения теории автоматического управления (ТАУ) и показать, что структурная схема любой линейной динамической системы может быть составлена из предложенного множества элементарных звеньев. Справедливым оказалось и обратное - структурная схема линейной динамической системы может быть • разложена на элементарные звенья из предложенного элементного базиса. В таком случае любая передаточная функция линейной динамической системы получается непосредственно из элементарных звеньев, а процесс аппроксимации оказывается лишним.

В ТАУ фиксируется вывод о том, что соотношение между передаточными функциями в временной и частотной областях устанавливается механической заменой аргументов л' и jco. Использование этого правила решает проблемы передаточных функций во временной области. Все вышесказанное указывает на то что, используя данный метод можно упростить отыскание и вычисление передаточной функции аналогового фильтра.

Также в данной работе показано, что с помощью комбинирования по правилам, обоснованным теорией автоматического управления, из этих элементарных звеньев могут быть синтезированы любые передаточные функции и схемы аналоговых фильтров. Среди результирующих передаточных функций других звеньев, встречаются те, которые попадают в разряд не элементарных. Во 2 и 3 главах приведены примеры разложения не элементарных звеньев на элементарные.

Другим важным преимуществом данного метода является реализация данной методики синтеза при проектирования аналоговых устройств на МАБИС и ПАИС. При этом совершенно не обязательно использовать аппаратные аналоги элементарных звеньев, выполненные на операционных усилителях.

При дальнейшем продолжении работы в этом направлении будут проведены эксперименты по синтезу более сложных схем аналоговых фильтров. Для этого нужно будет создать новый макет с ПЛИС, который обладает больший памятью. Преимущества предлагаемого метода синтеза:

• Сокращается время и объем расчета вычисления передаточной функции

• Использование данного метода позволяет синтезировать схемы фильтров более высоких порядков

• Повышается точность вычисляемой модели при синтезе фильтров

• Миниатюризация реальных получаемых фильтров

• Появляется возможность применения данной методики при проектировании МАБИС и ПАИС.

Библиография Мартьянов, Павел Сергеевич, диссертация по теме Радиотехника, в том числе системы и устройства телевидения

1. « Основы радиоэлектроники и связи»: Учебник для вузов, В.И. Нефедов, В. Ш., 1998.2. '"Расчет частотных характеристик рабочего затухания электрических фильтров" Т.М. Сахарова, Изд во Связь, Москва, 1968.

2. Лер А. М., Швецкий Б. И. ДИАПАЗОННЫЕ АНАЛОГОВЫЕ ФИЛЬТРЫ. Сборник статей журнала, Отбор и передача информации, № 34, 1972

3. Херреро Д. и Уиллонер Г. Синтез фильтров. Пер. с англ. ред. И.С. Гоноровского. М., изд-во Советское радио, 1971.

4. Е. A. Guillemin. Communication networks. Уо1 2, 1947.

5. Я.С. Ицхоки. Нелинейная Радиотехника. Советское радио, 1955.

6. Д.Д. Чурабо. Конструирование деталей и узлов радиоэлектронной аппаратуры. :М, Госэнергоиздат, 1963

7. Роудз Дж. Д. Теория электрических фильтров: Пер с англ./ Под. ред. A.M. Трахтмана. М.: Сов. Радио, 1980.

8. Г. Лэм. Аналоговые и цифровые фильтры./. Пер. с англ. М.: Мир, 1982.

9. С.В Первачев. А.А Валуев, Чиликин В.М. Статистическая динамика радиотехнических следящих систем. М.: Сов. Радио, 1983.

10. Kalman R.E. New approach to the linear filtering and prediction problems. Trans, ASME, Ser. D., Journal of Basic Engineering, 1960.

11. Kalman R.E., Bucy R.S. New results in linear filtering and prediction theory. Trans. ASME, Ser. D., Journal of Basic Engineering, 1961.

12. Тронин Ю.В, Гурский O.B. Синтез фильтров: Учебное пособие, М.: Изд-во МАИ, 1990

13. Зааль Р. Справочник по расчету фильтров, М.: Радио и связь, 1983.

14. Справочник по расчету и проектированию ARC схем. Под ред. А.А Ланнэ.- М.: Радио и связь, 1984.16. «Проектирование аналоговых и цифровых фильтров» Голованов В.В., Яковлев А.О., Учебное пособие к курсовой работе, М.: Изд-во МАИ, 1993.

15. С.В. Кавчук, Методическое руководство "Синтез аналоговых частотных фильтров" Таганрог, гос. ун-т; Таганрог, 1997.

16. Баскаков С.И. Радио технические цепи и сигналы. М.: Высшая школа, 1988.

17. Матханов П.Н. Основы синтеза линейных электрических цепей. М.: Высшая школа, 1976.

18. Боде Г. Теория цепей и проектирование усилителей с обратной связью, М.: ИЛ, 1948.

19. Хыолсман Л. Теория и расчет активных RC-цепей, М.: Связь, 1973.

20. Витков М.Г. Виткова /'Синтез фильтров без проблем" методическое пособие А.А. ИППИ РАН, Москва, 2003.

21. Баскаков С.И. Радиотехнические цепи и сигналы: Учеб для вузов по спец. «Радиотехника»/ С.И. Баскаков. 4-е изд., перераб. И доп. - М.: Высш. шк., 2003.

22. В.А. Каплун, Ю.А. Браммер и др.Радиотехнические устройства и элементы радиосистем: Учеб. пособие / М.:Высшая школа, 2002.

23. В.И. Нефедов, Основы радиоэлектроники и связи, Учебник для вузов, М.: Высщ. Шк., 2002.26. «Синтез аналоговых и цифровых фильтров на основе каскадных многополюсных структур автореферат, Бондаренко А.В., Довгун В.Г1., 1998.

24. Фильтр нижних частот коротковолнового передатчика, Алекееенко В.Н., Мингалиев Т.Р., ГУП ОНИИГ1, Омск 9, 2001.

25. Высокоизбирательный полосовой фильтр, И.М. Ясинский, А.Н. Яковлев, Л.В. Насонова, ГУП ОНИИП, Омск 9, 2002.

26. Les Thede. Practical Analog and Digital Filter Design, Artech House, Inc, 2004.

27. John M. Khoury. Design of a 15-MHz CMOS Continuous-Time Filter with On-Chip Tuning. IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 26>NO. 12,DECEMBER 1991.

28. P.M.S. Burt andM. Gerken. "A polyphase IIR adaptive filter: Error surface analysis and application", Proc. International Conference on Acoustics, Speech and Signal Processing, April 1997.

29. C. R. Johnson, Jr, Lectures on Adaptive Parameter Estimation. Prentice Hall, 1988.

30. Analog-Digital Conversion, ed. W. Kester. Analog Devices, Inc., 2004.36. «Синтез аналоговых активных и пассивных фильтров в Micro Сар 8»; И. Златин. 2004.

31. Синтез аналоговых фильтров; В.В. Гудков, С.Н. Филатов, Воронеж, 2006.38. «Анализ сигналов и систем. Пакет SystemView компании Elanix. Занятие 5. Моделирование фильтров. Экспорт данных во внешние файлы» В. Стешенко, Р. Загидуллин, С. Карутин.2003.

32. Радиопередающие устройства/ Под ред. В.В. Шахгильдяна. М.: Радио и связь, 1996.

33. Радиоприемные устройства/ Под ред. В.И. Спфорова. — М.: Сов. Радио, 1974.

34. Матханов П. Н. Основы синтеза линейных электрических цепей. -М.: Высшая школа, 1976

35. Тихонова Л.С. Алгоритм проектирования активных фильтров Чебышева, Методическое пособие. СПб.: Изд. СПбГУКиТ, 2003.

36. Калашников А.Н. Определение коэффициентов линейной аппроксимации для неортогонального базиса путем оптимизации обобщенного отношения Рэлея // Труды Одес. политехи, ун-та. 1996. - Вып. 2. -С. 37 - 39.

37. Розевиг В.Д. Схемотехническое моделирование с помощью Micro-Cap 7.М.: Горячая линия -Телеком 2003.

38. Гоноровский И.С. Радиотехнические цепи и сигалы. Учебник для вузов. Изд. 4-ое, перераб. и доп. М., Сов. Радио, 1982.

39. В. Ф. Дмитриков, В. В. Сергеев, И. II. Самылин Повышение эффективности преобразовательных и радиотехнических устройств. М.: Радио и связь, Горячая линия — Телеком 2005.

40. Флосовский словарь. /Под ред. М.М. Розенталя М.:ИПЛ, 1975.

41. Ланнэ А.А. Оптимальный синтез линейных электронных схем. -М.: Связь, 1978.

42. Энгельс Ф. Анти-Дюринг.- М.:ИПЛ, 1973.

43. Ильин В.Н., Коган В. Л. Разработка и применение программ автоматизации схемотехнического проектирования. М.: Радио и связь, 1984.

44. Ильин В.Н. Основы автоматизации схемотехнического проектирования. М.: Энергия, 1979.

45. Шатихин Л.Г. Структурные матрицы и их применения для исследования систем. М.: Машиностроение, 1974.

46. Макаров И.М., Менский Б.М. Линейные автоматические системы. М :Маш-ие, 1977.

47. Нестеренко Б.К. Интегральные операционные усилители. М.: Энсргоиздат, 1982.

48. Хоровиц П., Хилл У. Искусство схемотехника Т. 1. М.: Мир, 1983.

49. Аналоговые интегральные схемы/ Под ред. Дж. Конели. — М.: Мир 1977.

50. Зотов М.Г. Алгоритмы конструирования управляющих устройств. М.: МИЭМ, 1991.

51. Зотов М.Г. Многокритериальное конструирование систем управления. М.: МИЭМ, 1992.

52. Шатихин Л.Г. Структурные матрицы и их применение для исследования систем. М.: Машиностроение, 1991.

53. Kalman, R.E., Falb, P.L., and Arbib, M.A. Topics in mathematical System Theory, McGraw Hill, New York, 1969; Русский перевод: P. Калман, П. Фалб, М. Арбиб. Очерки по математической теории систем. М.: Энергия, 1971.

54. Zade, L.A. and Desoer, С.А. Linear System Theory The State Spase Approach, McGrawHill, New York, 1963; Русский перевод: Заде Л., Дезоер Ч. Теория Линейных систем. Метод пространства состояний. - М.: Наука, 1970.

55. Мишин Г.Т. Естественно-научные основания аналоговой электроники. -М.:МИЭМ, 2003.

56. Мишин Г.Т. Универсальные аналоговые программируемые ИС. ЭЛЕКТРОНИКА: наука, технология, бизнес, 2004, №4 , с 26 - 30.

57. Мишин Г.Т., Новые решения в аналоговой микроэлектронике, М., Технология приборостроения, №4(8), 2003, стр.33-45.

58. Мишин Г.Т., Современная аналоговая микроэлектроника, М., Радиотехника, 2007.

59. Бесекерский В.А., Попов Е.П. Теория систем автоматического регулирования. М.: Наука

60. Аксаков Г.Н. и др. Персональный аналоговый компьютер / Г.Н. Аксаков, В.Н. ГаврилВ.А. Федоров. М. Энергоатомиздат, 1992. - 256 е., ил.

61. Уэйкерли Дж.Ф. Проектирование цифровых устройств, т. 1,2, М. Постмаркет, 2002. 544 с.

62. Бесекерский В.А., Ефимов М.Б., Зиатдинов С.И. и др.; Под общей редакцией Бесекерского В.А Л.: Машиностроение. Ленинградское отделение, 1988. - 365с

63. Юкио Сато. Обработка сигналов первое знакомство. П.р. Е. Амэмия, Додэка, 2005г.

64. Валх И., Сингхал К., Машинные методы анализа и проектирования электронных систем: Пер. с англ. М.: Радио и связь, 1988. - 560 с.1975.