автореферат диссертации по электронике, 05.27.01, диссертация на тему:Диагностика плазменных технологических процессов микро- и наноэлектроники

доктора физико-математических наук
Руденко, Константин Васильевич
город
Москва
год
2007
специальность ВАК РФ
05.27.01
цена
450 рублей
Диссертация по электронике на тему «Диагностика плазменных технологических процессов микро- и наноэлектроники»

Автореферат диссертации по теме "Диагностика плазменных технологических процессов микро- и наноэлектроники"

На правах рукописи

1

Руденко Константин Васильевич

ДИАГНОСТИКА ПЛАЗМЕННЫХ ТЕХНОЛОГИЧЕСКИХ ПРОЦЕССОВ МИКРО- И НАНОЭЛЕКТРОНИКИ

Специальность

05 27 01 - Твердотельная электроника, радиоэлектронные компоненты, микро- и наноэлектроника, приборы на квантовых эффектах

Автореферат диссертации на соискание ученой степени доктора физико-математических на

□ОЗ176457

Москва-2007

003176457

Работа выполнена в Физико-технологическом институте (ФТИАН) Российской Академии Наук

Научные консультанты: академик РАН, доктор технических наук, профессор

Александр Александрович Орликовский доктор физико-математических наук Владимир Федорович Лукичев

Официальные оппоненты: доктор физико-математических наук, профессор

Андрей Федорович Александров

Ведущая организация: Институт проблем технологии микроэлектроники и особочистых материалов РАН (ИПТМ)

Защита состоится « 20 » декабря 2007 г в 15 часов на заседании диссертационного совета Д 002 204 01 в Физико-технологическом институте Российской Академии Наук по адресу 117218, Москва, Нахимовский проспект, д 36, корп 1

С диссертацией можно ознакомиться в библиотеке Физико-технологического института РАН

доктор физико-математических наук, профессор Юрий Анатольевич Лебедев

доктор технических наук, профессор Вадим Васильевич Одиноков

Автореферат разослан « » ноября 2007 г

Ученый секретарь

диссертационного совета Д 002 204 01 кандидат физико-математических наук

В В Вьюрков

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы

Теоретические и экспериментальные исследования показали, что кремниевые МДП-транзисторы сохраняют свойства, необходимые для применения в КМОП -интегральных схемах (ИС), вплоть до значений длин канала 6-10 нм [1, 2] В 1999 году был преодолен рубеж топологических норм 100 нм Произошла естественная трансформация микроэлектроники в наноэлектронику, требующую применения прецизионных технологий

Известно [3], что минимальный размер (МР), обеспечиваемый литографией, превышает планируемую длину затвора транзистора почти вдвое (например, для МР 45 нм длина затвора составляет 20 нм) Создание интегральных схем на нанотранзисторах со столь малыми длинами каналов ужесточает требования не только к литографическим процессам, но и в значительной степени к процессам структурирования ультратонких пленок - анизотропному травлению наноструктур и конформному осаждению диэлектрических и проводящих слоев

Плазменные технологии, объем которых при изготовлении ИС высокой степени интеграции достигает 50% от числа используемых технологических операций, не имеют альтернатив в рамках существующих тенденций Процессы обработки кремниевых микроструктур в низкотемпературной химически активной плазме обеспечивают значительное снижение рабочих температур подложек при высоких скоростях травления / осаждения / модификации ультратонких пленок Структурирование пленок плазменными методами удовлетворяет жестким требованием к анизотропии и селективности процессов Плазменные микротехнологии внедрены или разрабатываются для

- травления полупроводниковых, металлических и диэлектрических слоев,

- осаждения изолирующих диэлектрических слоев,

- осаждения тонкого подзатворного диэлектрика, и/или тонкого окисления поверхности кремния,

- осаждения полупроводниковых слоев (например, поликремния),

- конформного заполнения субмикронных канавок (тренчей) с последующей частичной планаризацией рельефа,

- заполнения тренчей для боковой диэлектрической изоляции транзисторов,

- очистки поверхности пластин от органических загрязнений,

- очистки поверхности от загрязнений атомами металлов,

- плазменно-иммерсионной имплантации ионов (ПИ3) примеси с целью создания

мелко залегающих р-п переходов суб-100 нм диапазона,

-3 -

- высоковольтной ПИ3 кислорода или гелия для создания структур «кремний на изоляторе» с рекордно высокой скоростью набора необходимой дозы В ходе развития технологий микроэлектроники произошла смена как минимум трех поколений плазмохимических реакторов, использующих различные типы газового разряда для получения низкотемпературной химически активной плазмы В настоящее время активно развиваются широкоапертурные реакторы с источниками плотной плазмы (ИПП) низкого давления (high density plasma, HDP в англоязычной литературе), с независимым управлением плотностью ионов в плазме и их энергией в зоне обработки микроструктур Рабочие давления р - 0 1 - 50 мТорр в таких реакторах позволяют достичь высокой степени анизотропии процессов травления при переносе рисунка маски, а применяемые типы ВЧ- и СВЧ-разрядов в этом диапазоне давлений обеспечивают более эффективную генерацию плазмы, с большей плотностью ионов и химически активных частиц - радикалов (ХАЧ), чем в ранее используемых реакторах при р = 100 - 500 мТорр Соответственно возрастает скорость процессов травления структур и осаждения пленок при формировании ИС Важное свойство ИПП-реакторов - возможность оптимизации под заданную технологию Прогресс в этом направлении неразрывно связан с фундаментальными исследованиями в области физики низкотемпературной плазмы и газовых разрядов [4], плазмохимии [5], выполненных коллективами ведущих научных школ

Интегральные схемы наноэлектроники, содержащие слои, толщина которых порой соизмерима с постоянной кристаллической решетки, требуют контроля in situ процессов их изготовления Разработка и применение таких методов призваны обеспечить прецизионное травление структур и точный перенос суб-100 нм рисунка маски, контролируемое осаждение тонких пленок в диапазоне толщин от нескольких монослоев до долей микрона, стабильность технологии Для воспроизводимого формирования наноструктур плазменными технологиями уже недостаточно стабилизации внешних параметров процессов

Решение этой проблемы возможно при использовании встроенных средств контроля, использующих физические явления или эффекты, которые не вносят искажения в потоки частиц из плазмы на подложку Методы диагностики плазменных процессов должны быть невозмущающими, по отношению к технологии, и обладать достаточной чувствительностью Особенно жесткими являются требования к чувствительности методов контроля in situ при травлении контактных отверстий в диэлектриках систем многослойной металлизации УБИС, где общая площадь окон травления часто не превосходит 1% площади пластины

Предпочтительны средства мониторинга, для реализации которых не требуется создания специальных тестовых структур на пластинах Кроме этого, средства мониторинга должны обеспечивать возможность как автоматической остановки процесса, так и (в перспективе) управления его параметрами, например, скоростью травления или осаждения В последнем случае in situ детекторы должны включаться в цепи обратной связи управления технологической установкой

Не менее важным является использование методов диагностики и на этапе разработки плазменных технологических процессов (process design) Так, формирование субмикронных и наноразмерных структур ИС с высокими аспектными отношениями требует применения сильно ионизованной плазмы низкого давления, и, в то же время, вводит ограничения на температуру электронов плазмы (Те) для снижения дефектов (формы и электрических), вызванных зарядкой диэлектриков в МОП-структурах в процессе обработки Диагностика технологической плазмы в условиях реактора позволяет проводить целенаправленную оптимизацию параметров процесса, достигая приемлемого компромисса и существенно сокращая сроки внедрения новых технологий

Актуальность экспериментальных исследований закономерностей, обусловленных взаимодействием низкотемпературной химически активной плазмы с поверхностью микроструктур на основе кремния, и создания на их основе методов диагностики in situ технологических процессов, является очевидной Цель диссертационной работы

Целью настоящего исследования является разработка физических принципов невозмущающих методов контроля ш situ плазмостимулированных технологических процессов формирования микро- и наноразмерных структур на основе комплексного исследования плазмы в современных ИПП-реакторах для микроэлектронных применений

В ходе работы было необходимо решить следующие задачи

• развить экспериментальные зондовые методы исследования химически активной плазмы электроотрицательных газов, в том числе для условий возможного осаждения на зонд диэлектрических пленок, для характеризации ИПП-реакторов и для мониторинга плазмохимического травления микроструктур,

• разработать комплекс спектральных методов, обеспечивающих дизайн (process design) и мониторинг плазменных процессов травления микроэлектронных структур,

• исследовать возможности метода оптической эмиссионной актинометрии плазмы для определения параметров процессов плазмохимического травления в реальном времени,

• разработать методы контроля процессов плазмохимического осаждения пленок тонких диэлектриков с использованием спектральной эллипсометрии т situ,

• развить метод эмиссионной оптической томографии плазмы для условий предельно малого числа ракурсов, доступных в технологических реакторах, для реконструкции 20-распределения химически активных радикалов в зоне обработки пластины

Научная новизна и достоверность полученных результатов

Научная новизна выполненной работы заключается в следующем

• Разработан новый комплексный подход к диагностике плазменных технологических процессов в микроэлектронике на этапах конструирования плазменного реактора, дизайна плазменной технологии, мониторинга технологического процесса т situ и для текущего контроля состояния камеры реактора Разработанные методы обеспечивают возможность включения средств диагностики в системы автоматизированного управления процессами

• Разработан новый метод динамического зонда Ленгмюра для измерения параметров химически активной пленкообразующей плазмы низкого давления в технологических ИПП-реакторах микроэлектроники Впервые предложены способы определения момента окончания процесса травления микроструктур на основе зондового мониторинга параметров плазмы in situ

• Исследованы параметры реагирующей плазмы ВЧ и СВЧ разрядов в газах BF3, SF6, CHF3, 02, Н2, Аг Параметры плазмы BF3 исследованы впервые Проведены сравнительные зондовые исследования плазмы с источниками на основе ВЧ- и СВЧ-разряда в единой геометрии камеры реактора, в результате которых осуществлен целенаправленный выбор типа реактора, адекватного требованиям технологии Доказана необходимость характеризации реакторов для микроэлектроники в плазме, непосредственно использующейся в технологическом процессе

• Показано, что метод эмиссионной актинометрии химически активных радикалов плазмы позволяет оценить эффективность ИПП-реакторов для плазмохимических технологий микроэлектроники с различными типами и условиями разрядов

• Разработаны физические основы актинометрического мониторинга процессов плазменного травления, установлены количественные соотношения между плотностью атомарного фтора в плазме и скоростями анизотропного травления слоев poly-Si, Si02 Впервые показано, что на основе данных актинометрических измерений in situ при плазменном травлении структур poly-Si/SiCySi можно в

режиме реального времени управлять селективностью травления и контролировать однородность процесса по площади пластины

• Предложена математическая модель, количественно связывающая динамику сигнала актинометрического мониторинга в момент окончания плазмохимического травления одного из слоев многослойной структуры и однородностью скорости его травления по площади пластины

• Предложен новый высокочувствительный способ эмиссионного контроля момента окончания травления микроструктур при малой площади окон травления, основанный на выделении полезного сигнала момента окончания процесса из шумов эмиссии плазмы фазовым детектированием сигнала интенсивности спектральной линии ХАЧ плазмы на собственной частоте плазмообразущего генератора либо на частоте его модуляции

• Развит метод эмиссионной томографии плазмы для предельно малого числа ракурсов и предложен алгоритм томографической реконструкции для измерения двумерного распределения химически активных радикалов в плазме Разработанный метод совместим с плазмохимическими реакторами микроэлектроники

Достоверность результатов исследований обеспечивается применением в работе фундаментальных физических теорий, использованием современных методов исследования, верификацией экспериментальных результатов независимыми методиками, соответствием экспериментальных данных построенным моделям Положения, выносимые на защиту

• Установлено, что СВЧ ЭЦР-реактор и ВЧ реактор с планарным индуктором (ВЧИ-реактор) генерируют сильно ионизованную (степень ионизации до 10"') плотную (п,~ 1012 см"3) плазму в диапазоне давлений 1-20 мТорр, существенно отличающуюся типом функций распределения электронов по энергиям (ФРЭЭ) в ЭЦР-реакторе - бимаксвелловская ФРЭЭ, в ВЧИ-реакторе - близкая к распределению Максвелла Конкретный вид ФРЭЭ определяется как типом разряда, так и типом плазмообразующего газа, а также конкретными условиями разряда Плазма молекулярных фторсодержащих газов обладает более высокой электронной температурой (Те_е# = 3 6-6 7 эВ), чем плазма аргона (ТееГГ = 2 6-4 8 эВ) в идентичных условиях разряда Молекулярная плазма в ИПП-реакторах имеет повышенную газовую температуру 600-1300 К Адекватный выбор типа ИПП-реактора и режимов разряда способен повысить точность переноса топологии маски, минимизировать эффекты зарядки субмикронных и наноразмерных структур

• Предложенный метод динамического зонда Ленгмюра обеспечивает корректные измерения параметров полимерообразующей плазмы низкого давления

Чередование режима ионной чистки и режима измерения точки ВАХ в микросекундном диапазоне длительностей импульсов зондового потенциала дает возможность предотвратить осаждение диэлектрических пленок на зонд в момент измерения, с одной стороны, и измерять ток в квазистационарном состоянии призондового слоя - с другой В этом случае применимы известные теории сбора ионного тока зондом

• Мониторинг параметров плазмы методом зонда Ленгмюра в процессах плазмохимического травления эффективен для определения момента окончания травления слоев микроструктур в ИПП-реакгорах Критерием выбора конкретного параметра для зондового мониторинга (ионная или электронная плотность, эффективная электронная температура, потенциал плазмы или плавающий потенциал) является значение относительного изменения этого параметра при достижении нижней границы удаляемого слоя и максимальное отношение сигнал/шум

• Показано, что спектральный мониторинг объемной плотности химически активного атомарного фтора в плазме при плазмохимическом травлении микроэлектронных структур т situ позволяет определить (i) скорости травления слоев, (н) селективность травления и (ш) момент окончания процесса Анализ ex situ актинометрической кривой мониторинга дает количественную оценку неоднородности скорости травления по площади пластины

• Эмиссионная 20-томография плазмы ИПП-реакторов может быть реализована с использованием двух ракурсов и веерного сканирования датчиков При этом в алгоритм реконструкции вводится априорная информация о функциональном виде (профиле) «элементарной» плазменной неоднородности, позволяющая значительно уменьшить артефакты реконструкции Общее поле реконструкции представляется суперпозицией невзаимодействующих «элементарных» неоднородностей

Практическая значимость работы

Предложенные диагностические методики воплощены в экспериментальных образцах автоматизированных компьютерных комплексов, предназначенных для контроля плазменных процессов в реакторах микроэлектроники Их применение в цепях обратной связи систем управления современными плазменными установками для микро- и наноэлектронных применений открывает новые возможности плазменных технологий

Проведенные исследования привели к созданию диагностических систем в виде - автоматизированного объединенного комплекса зондовой диагностики и быстрой эмиссионной спектроскопии плазмы (Приложение П1),

- автоматизированного спектрального синхронного end-point детектора для процессов плазмохимического травления при малой площади окон (Приложение П2),

- комплекса оптической эмиссионной томографии плазмы, адаптированного к конструкциям плазменных реакторов микроэлектроники (Приложение ПЗ)

Они были испытаны в реальных технологических процессах на прототипах современных плазмохимических установок на базе ИПП-реакторов Способы и устройства мониторинга процессов плазмохимического травления микроэлектронных структур (технические решения) защищены двумя патентами Российской Федерации, которые базируются на результатах данной диссертационной работы Апробация работы

Основные результаты работы докладывались на Всероссийских конференциях «Микроэлектроника-94», 1994 г и «Микро- и наноэлектроника», Звенигород 1998, 2001 гг, XXX Звенигородской конференции по физике плазмы и УТС, 2003 г, на международных конференциях "International Conference Micro- and Nanoeiectronics", Zvemgorod, Russia, 2003, 2005, 2007, Международных симпозиумах по теоретической и прикладной плазмохимии, Иваново, Россия, 2003, 2005 гг, на международной конференции "International Vacuum Congress" (IVC-16), Venice, Italy, 2004, международном семинаре "International Workshop on Silicon Dry Processing", Aahen, Germany, 2006 и международном симпозиуме "Plasma Etch and Strip in Microelectronics", Leuven, Belgium, 2007 Публикации

Содержание диссертации опубликовано в 34 работах, включая главу в книге «Энциклопедия низкотемпературной плазмы», т XII-5, статьи в отечественных и зарубежных научных журналах, труды российских и международных конференций и симпозиумов, а также два патента РФ на изобретения Список публикаций автора приведен в конце автореферата Личный вклад автора

В исследование, выполненное в рамках настоящей диссертационной работы, автором внесен определяющий вклад в части постановки задач и выбора направлений деятельности, разработке методов диагностики и анализа полученных экспериментальных результатов Автором лично предложены и поставлены все эксперименты по диагностике плазменных технологий Его идеи легли в основу разработанных алгоритмов малоракурсной эмиссионной томографии плазмы в плазмохимических реакторах микроэлектроники Непосредственное участие коллег автора диссертации в исследованиях отражено в виде их соавторства в опубликованных работах (см список публикаций автора) Результаты, составляющие

научную новизну диссертационной работы и выносимые на защиту, получены автором лично Структура диссертации

Диссертация включает общую характеристику работы, и состоит из 6 глав, заключения, и приложений Каждая из глав имеет раздел «Выводы», в котором суммируются полученные в ней результаты Все основные полученные результаты и общие выводы по работе приведены в разделе «Заключение»

Общий объем диссертации составляет 298 страниц текста, включая список публикаций автора по теме диссертации, список цитируемой литературы и 4 приложения Диссертация содержит 111 рисунков и 9 таблиц Библиография представлена 279 ссылками цитируемой литературы и списком из 34 публикаций автора по теме диссертации

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ Глава 1 (вводная) содержит анализ требований к плазменным технологиям при формировании субмикронных и наноразмерных структур в кремниевых ИС, важнейших физико-химические процессов при взаимодействии плазмы с поверхностью и влияния гетерогенных реакций в системе плазма-поверхность на объемные параметры плазмы, диагностика которых в ходе технологического процесса может служить физическим базисом методов мониторинга in situ Рассмотрена эволюция плазменных реакторов, ориентированных для технологических применений в микроэлектронном производстве, вызванная ужесточением требований по мере перехода от микро- к наноразмерному диапазону структур интегральных приборов, составляющих ИС. Проведен детальный обзор существующих методов определения момента окончания процесса (end point detection), измерения в реальном времени скорости анизотропного удаления / нанесения слоев микроструктур

Глава 2 посвящена сравнительному исследованию параметров плазмы в ИПП-реакторах, выполненному с использованием автоматизированной методики зонда Ленгмюра Исследования проводились в экспериментальных реакторах для пластин 0150 мм, разработанных во ФТИАНе [A31J, использующих планарный высокочастотный индуктивно связанный (ВЧИ, ICP, 13 56 МГц) и микроволновый ЭЦР (2 45 ГГц) разряды Их устройство показано на Рис 1

Оба реактора являются системами с удаленным источником плазмы низкого давления (1-50 мТорр), в которых зона обработки пластины выведена из области сильных электромагнитных полей разряда и находится в области диффузионного распространения плазмы Это необходимо, чтобы избежать повреждений

субмикронных и наноразмерных структур в ходе плазменной обработки. Требуемая анизотропия процессов (реакций травления, ионной очистки поверхности, режим иммерсионной ионной имплантации, активация плазмохимического осаждения пленок) достигается подачей электрического смещения (ВЧ, импульсного) на стол-держатель пластины. Таким образом, реализуется независимое управление плотностью ионов в плазме и их энергией при бомбардировке поверхности.

а)

б)

Рис. 1. Плазмохимические реакторы на основе ИПП-источников: а) ВЧИ (ICP) плазмохимический реактор 13.56 МГц; б) микроволновый ЭЦР реактор 2.45 ГГц. 1- рабочая камера 0 300 мм, h= 200 мм, 2- стол для пластин 0 150 мм, 3- система магнитной защиты стенок и магниты зоны ЭЦР, 4-магнитопровод, 5- кварцевый колпак, 6- каналы водяного охлаждения, 7- каналы подачи гелия, 8- оптические окна, 9- водоохлаждаемая антенна (ВЧ-индуктор либо СВЧ-антенна), 10- СВЧ- мост, 11-волновод 45x90.

В качестве плазмообразующего газа использовался как аргон, плазма которого используется для сертификации (де-факто) промышленных плазмохимических реакторов, так и реальные технологические газы - SF6, CHF3, BF3, 02, Н2. В таких условиях зондовые измерения осложняются не только ВЧ и СВЧ полями и присущими ВЧ-разрядам низкочастотными и высокочастотными колебаниями потенциала плазмы, но и химической активностью плазмы, изменяющей условия на поверхности зонда Ленгмюра (образование непроводящих пленок), а также электроотрицательностью плазмы. Поэтому проведен экспериментальный и теоретический анализ условий работы зонда в ИПП-реакторах в зоне обработки пластин, с учетом которого разработан автоматизированный измерительный

-11 -

комплекс, в котором компенсируются колебания потенциала плазмы, и реализуется динамический режим ионной очистки поверхности зонда

В исследованиях использовался одиночный цилиндрический зонд Ленгмюра (радиус зонда a= 0 15 - 0 25 мм, длина зонда 1=5-7 мм) из вольфрама или платины, в зависимости от химического состава плазмы Обработка зондовых ВАХ велась по известной процедуре из электронной ветви Ie(Vpr) извлекался потенциал плазмы Vp, электронная плотность пе и функция распределения электронов по энергиям ФРЭЭ (по соотношению Дрювестайна), откуда вычислялась эффективная электронная

плотность положительных ионов п,+, использовалась как теория Бернштейна-Рабиновича-Лафрамбуаза (В11Ь), так и Аллена-Бойда-Рейнолдса (АВЯ), в зависимости от режима столкновений в призондовом слое Не очень высокие степени электроотрицательности плазмы (см ниже) допускали использование этих теорий без учета влияния отрицательных ионов на ток насыщения положительных ионов

Так как геометрически камеры обоих реакторов являются идентичными (Рис 1), сравнительные исследования дают возможность непосредственно установить влияние типа генератора (источника) плазмы на ее свойства, принципиально важные для микроэлектронных применений Параметры плотной плазмы низкого давления показаны ниже на примере плазмы Аг и молекулярной плазмы ВБз, исследованной впервые

В обоих случаях наблюдается линейная зависимость плотности плазмы от вложенной в разряд мощности Р При Р~ 1400 Вт в разрядах аргона достигаются значения концентраций ионов до 4х10п см"3 (Э1ДР, р=2 мТорр) и 2х1012 см'3 (ВЧИ, р= 6 мТорр) Зависимость для плазмы молекулярного ВИз также линейна, но ионные плотности несколько ниже - 7хЮ10 - 1 2x10м см'3 и (3 - 5)х10" см*3, соответственно Это объясняется наличием дополнительного канала потерь энергии электронов на колебательное возбуждение и диссоциацию молекул

С точки зрения эффективности ионизации в условиях низких давлений оба реактора примерно одинаковы, и обеспечивают поток сильно ионизованной плазмы в зону обработки (при указанных давлениях степень ионизации 10'3 - 5 10'2) Электронная плотность в плазме ВБз существенно ниже плотности положительных ионов, что свидетельствуют о наличии отрицательно заряженных ионов (во фторсодержащей плазме, в основном ионы р) Степень электроотрицательности плазмы ВР3 а = 0 3-0 5.

температура

о

Из ионной ветви ВАХ J+(Vpr) рассчитывалась

400 600 800 1000 1200 1400 1600

Р.,- ■ ВТ

а) б)

Рис 2 Зависимость концентрации положительных ионов (п+) и электронной плотности (пе) в плазме ^-ЕСЯ реактора (а) и 1СР-реактора (б) в зоне обработки пластин

5 10 15 20 25

р, мТорр

а) б)

Рис 3 Плотность положительных ионов (п+) и электронов (пе) в плазме ВБз как функция давления в камере реактора СВЧ-ЭЦР (а) и с планарным ВЧИ источником (б) Вложенная в разряд мощность в обоих случаях Р = 1000 Вт

Зависимости концентраций заряженных частиц от давления в камере для плазмы для ВБз показаны на Рис 3 В противоположность плазме Аг, где плотность ионов и электронов монотонно увеличивается в этом диапазоне давлений при фиксированной мощности разряда, плотность плазмы ВБз имеет максимум при р=2-3 мТорр

В области давлений р< 1 мТорр, наблюдается отличие между двумя реакторами Устойчивый СВЧ-разряд, создающий однородную сильно ионизованную плазму в камере поддерживается вплоть до 0 1 мТорр и менее, в то время как для ВЧИ источника давление в 0 5 мТорр является предельным, ниже которого пробой газа

-13-

неустойчив, а пространственная однородность плазмы - неудовлетворительна Этот эффект объясняется наличием ЭЦР зон в микроволновом источнике, эффективность ионизации газа в которых превосходит индуктивный ВЧ-разряд, возникающий в таких условиях не в основной, //-моде, а в малоэффективной Е-моде

Немонотонное поведение ионной плотности и+ в разрядах ВР3 в рабочем диапазоне давлений (Рис 3) в той или иной степени характерно и для плазмы других исследованных нами молекулярных электроотрицательных газов (Табл 1) Физический механизм, лежащий в основе немонотонного поведения п+ = /(р), заключается в перераспределении энергии между процессами ионизации, диссоциации, и образования отрицательно заряженных ионов Характер зависимости пе - Др) (Рис 3), определяется балансом этих процессов, известно, что интенсивность процессов диссоциативного прилипания увеличивается с ростом давления р и уменьшением электронной температуры Те

Температура электронов (Ге = 2/3 (е)) в плазме ВРз планарного ВЧИ реактора (3 7-4 2 эВ) существенно выше измеренной в СВЧ реакторе (2 5 эВ) при сравнимых давлениях в камере В целом, Те при фиксированном давлении слабо меняется с мощностью Однако, как показали настоящие исследования, она существенно зависит от типа разряда Очевидно, режим столкновений электронов в СВЧ-источнике обеспечивает более эффективную потерю энергии электронами, чем в индуцированном плазменном токовом витке планарного ВЧИ-источника Потенциал плазмы Ур в зависимости от мощности разряда меняется слабо (в пределах ~ 1 В), и составляет для СВЧ-плазмы ВРз Ур = 6-9 В, для ВЧ-индуктивной Ур = 10-20 В (0 5 -5 мТорр) Небольшие значения Ур позволяют управлять в энергией ионов в технологических процессах от значения Е1И ~ (1 - 2) еУр

Для практики применений реакторов важен вид функции распределения электронов по энергиям (ФРЭЭ) В микроволновом и планарном ВЧИ реакторах отличия видны непосредственно на выделенном из ВАХ электронном зондовом токе, и характерны и для плазмы Аг, и для плазмы ВР3 (Рис 4)

В ВЧИ плазме ФРЭЭ близка к максвелловской, с температурой Гг ~ 3 6-4 3 эВв диапазоне давлений р от 20 до 1 мТорр Напротив, в СВЧ плазме низких давлений ФРЭЭ представляет собой бимаксвелловское распределение, которое может быть представлено как сумма двух распределений Максвелла, с температурами холодных (Тес) и горячих (Те/1) электронов

148,41 54,60

< 2

2,72 100 0 37 014'

148 4154 60-

< 7,39

г

Ъ 2,72

0 37

0,14

Г

Г,=3 9 эВ . /

10 20 30 40

V , в

а) б)

Рис 4 Выделенный электронный ток (1е1) зонда в плазме ВР3 (а), СВЧ-ЭЦР реактор (р=13 мТорр, Р^ = 1400 Вт), (б) ВЧИ реактор (р = 25 мТорр, Р& = 1000 Вт)

Оценка соотношения плотности холодных электронов к плотности горячих п,,ь//?„ может быть проведена из соответствующих токов насыщения Iрс\\ IрЬ при потенциале плазмы Ур (Рис 4а) Из электронного тока на зонд в точке Ур следует, что "л _ ЁГ Для случая на Рис 4а, доля горячих электронов в плазме

составляет около 8 5% В большей или меньшей степени эффект горячих хвостов в ФРЭЭ наблюдался нами в разрядах всех исследуемых в СВЧ-реакторе газов (02, ЗБб, Аг, ВБз)

Хотя СВЧ-реактор обладает в целом более низкой Те$= 1 8 - 3 0 эВ (р = 201 мТорр, Аг), но в условиях подачи на пластину отрицательного потенциала <р„^(0 (стандартный режим для анизотропных гетерогенных реакций) увеличивается поток электронов из горячего «хвоста» распределения на ее поверхность, , (1)1Тк) ВЧ-реактор на основе источника с планарным

г,,, 1

гдг) = т"м—-

4 у ж тп.

индуктором, в связи с отсутствием горячих электронов в таких же режимах разряда, более универсален в микроэлектронных применениях, особенно чувствительных к эффектам зарядки микроструктур Поэтому в настоящем исследовании именно в ВЧИ (1СР) реакторе подробно изучалась плазма газов, типичных для микроэлектронных приложений Из Табл 1 видно, что при сходных внешних параметрах разряда параметры плазмы электроотрицательных технологических газов существенно отличаются от электроположительной плазмы аргона

Табл 1 Параметры плазмы индивидуальных технологических газов, полученной в

экспериментальном ВЧИ - реакторе ФТИАН (1СР-разряд, 13 56 МГц)

Плазмо-образующий газ р, мТорр / Prf, Ватт + п, , см"3 Пе, см"3 Те, эВ а, (П|7п,+)

Аг 1-25/ 400 - 1200 2 10"—2 10й 2 10"-2 Ю" 26-48 -0 05 (погрешность)

н2 2-7/ 400-800 1 5 10" -3 10" 1 2 10"-2 1012 58-83 0 4-0 6

о2 1-20/ 400 - 800 7 10IU—1 4 10" 5 10'°- 1 10" 46-67 0 1-0 5*

SF6 1-20/ 400-800 4 101-3 5 10" 2 5 ]0ш-2 5 10" 41-53 0 3-0 6

CHF3 2-10/ 600-1000 1 10"-4 0 10" 3 10ш-9 0 10ш 40-65 0 4-0 7

BF3 1-25/ 400 - 1400 1 10"-9 10" 7 10'"- 7 10" 36-43 0 3-0 5

Во всех молекулярных плазмах, за исключением плазмы Н2 , п* ил,в 2-5 раз ниже, чем в аргоне, и зависят от конкретного плазмообразующего газа В плазменных технологиях микроэлектроники широко применяются смеси газов, часто это смесь электроотрицательных и электроположительных (инертных) газов В работе была исследована плазма смеси BF3 - Аг в диапазоне составов 0-100% Наблюдается монотонное изменение всех измеренных параметров (п,+, пе, Те,) от состава газовой смеси, более резкое при небольших добавках BF3 (до 10%) в плазму аргона Исключением является плотность отрицательных ионов, почти неизменная при содержании BF3 более 10% в газовой смеси

Пространственная (латеральная) однородность параметров плазмы (п„ пе, Те) в зоне обработки пластин в ИПП-реакторах с удаленным источником плазмы -величина, регулируемая конструктивными особенностями реактора, и является важнейшей характеристикой, определяющей максимально допустимый размер обрабатываемой пластины В настоящей работе исследования сосредоточены на адаптации зондового метода диагностики, имеющего значительные ограничения для работы в постоянных и переменных электромагнитных полях, для решения задач оптимизации однородности плазмы в зоне обработки микроструктур Параллельно изучалось влияние конструктивных особенностей магнитных систем защиты стенок на параметры плазмы в реакторах Показано, что максимум латеральной

однородности (1-2% изменения параметров по сечению реактора на диаметре пластины) достигается при минимальных осевых магнитных полях в объеме реактора (< 1 мТл), что требует специальной настройки системы пристеночных магнитных каспов (Рис 1) Эта система приводит как выравниванию радиальной плотности положительных ионов, поднимая ее в периферийных зонах реактора в 1 5-2 раза, так и к снижению на 20-30% электронной температуры плазмы, что важно для минимизации эффектов зарядки обрабатываемых структур Системами пристеночных магнитных каспов удается поддерживать высокую однородность плазмы в экспериментальном реакторе 0500 мм, в котором возможна обработка 300 и 400 мм пластин Установлено, что настройка магнитных систем на максимум латеральной однородности плазмы различна для плазмы Аг и плазмы молекулярных газов особенно при повышенных давлениях > 20 мТорр В молекулярной плазме интенсивны процессы диссоциативной рекомбинации и нейтрализации ионов по реакциям АВ+ + е" -> А+В, и А+ + В" -> А + В (АВ+ - молекулярный ион) Например, соответствующий коэффициент скорости в кислородной плазме для 02+ составляет кг = 2 10"7 см3 с'1 Для сравнения, наиболее вероятный процесс объемной рекомбинации в атомарной плазме связан последовательными реакциями А + А+ -» А2+ , А2+ +е~ -» А + А, и для него константа скорости кг ~ 1 10"п см3 с"1 Те, при равных давлениях газа и ионной плотности вклад объемной рекомбинации, по сравнению с диффузионными потерями ионов на стенки в атомарной плазме пренебрежимо мал, в отличие от молекулярной

Таким образом, характеризация реакторов, предназначенных для конкретных микроэлектронных технологий, должна проводиться на плазме применяемых в процессах газов Параметры молекулярной химически активной плазмы низкого давления (плотность, потенциал плазмы, электронная температура, ФРЭЭ, пространственная однородность параметров в зоне обработки) значительно отличаются от атомарной плазмы аргона в таких же внешних условиях разряда

Глава 3 посвящена возможностям спектрального исследования компонента ого состава и параметров плазмы в современных реакторах для дизайна плазменных процессов микроэлектроники В работе развит метод актинометрического контроля объемной плотности активных радикалов - атомарного фтора для плазмы низкого давления Эта величина в значительной степени определяет скорость плазмохимического травления структур на основе кремния

Для измерения плотности атомарного фтора в основном (невозбужденном) состоянии в плазме актинометрический подход [6] предполагает нормировку интенсивности избранной эмиссионной линии радикала на интенсивность

эмиссионной линии актинометра, содержание которого в плазме известно В работе проанализированы основные условия, необходимые для корректного использования актинометрического метода в сильно ионизованной плазме низкого давления

В настоящем исследовании метод развит для практики применения со спектрометрами умеренного разрешения Введен учет аппаратной функции спектрометра применительно к актинометрическим измерениям в виде

nF = Каа пм B'-md где Sp(l) - аппаратная спектральная чувствительность

Bi_aa Sp(XroJ)

оптического и приемо-усилительного тракта на соответствующих длинах волн, а В/ -

>4,2 ¡4>г

интегральные яркости спектральных линий в,= J>(Л') Л» /'(Л = ¡КЛ) А(Л'-Л)с!Л,

Щ Лф\

где Г (Л) , 1(Я) - наблюдаемый и истинный контур спектральной линии, соответственно

Метод актинометрии in situ реализован в программном обеспечении спектрально-аналитического комплекса диагностики плазменных процессов, созданного на базе быстрого акустооптического спектрометра «Кварц-2000» (разработка ВНИИФТРИ)

Исследована плотность атомарного фтора в разрядах BF3, CHF3, SF6 в ИПГГ-реакторе (ВЧИ-разряд) в широком диапазоне давлений (1-20 мТорр) и ВЧ-мощности (400 - 1200 Вт) Плотность фтора линейно зависит от вложенной в разряд мощности, а зависимость от давления при фиксированной мощности имеет насыщающуюся кривую Это проиллюстрировано на Рис 5 для плотной плазмы низкого давления (газ SF6)

ВЧИ-разряд с планарным индуктором обеспечивает эффективную генерацию химически активных радикалов в условиях низкого давления, степень диссоциации по фтору исходных молекул в разряде составила для плазмы BF3 0 15 - 0 34, для плазмы CHF3 -0 07 - 0 21, для плазмы SF6 - 0 21 - 0 36 При р = 20 мТорр концентрация атомарного фтора в ИПП-реакторе (2х1014 см"3, плазма SF6) превосходит эту величину в реакторах с ВЧ-емкостным разрядом (ВЧЕ) при р > 100 мТорр

Для оптимизации скорости и анизотропии ионно-стимулированных реакций травления микроструктур в химически активной плазме представляют интерес относительные объемные концентрации положительных ионов и активных радикалов С учетом немонотонного характера зависимости ионной плотности от давления в исследованных молекулярных плазмах, отношение и„,+ / пр* также имеет немонотонный характер (Рис 6)

а) б)

Рис 5 Зависимость концентрации атомарного фтора (пР.) в плазме газа 8Р6 (р = 3 5, 13, 25 мТорр) от ВЧ мощности (РмО, вложенной в разряд (а), и от давления при фиксированной мощности (Р^ = 1000 Вт) (б)

Рис 6 Отношение плотности положительных ионов (и,+) к плотности атомарного фтора (пр.) в 1СР-плазме СНР3 в рабочем диапазоне давлений

Здесь приведены результаты, полученные для плазмы СНБз, которая применяется для травления диэлектриков Как известно, ионно-стимулированная реакция травления оксида кремния в такой плазме с брутто-формулой СБ2(Е) + Бщ +

8Ю2(5цг0 —* Б^сй + С02(й чувствительна к режиму ионной бомбардировки

поверхности Видно, что в области давлений <5 мТорр существует область максимальной относительной концентрации положительных ионов

Методом малых добавок азота в плазму CF4, SF6 спектроскопией умеренного разрешения по частично разрешенным вращательным спектрам 2+ системы N2 (С3 П„+ —► B3ng+) измерена вращательная (Trot) и оценена поступательная (газовая) температура плазмы (Tg) в планарном ВЧИ-реакторе Применялся подход, основанный на теоретическом синтезе колебательно-вращательной структуры эмиссионной полосы N2 Из экспериментального спектра выделялся переход 0-0 N2 (Я=337,13 нм), оказавшийся свободным от перекрытий с эмиссией других компонентов исследуемой плазмы Теоретический синтез вращательных спектров проводился с помощью программного обеспечения PGOPHER (http //pgopher chm bris ас uk), позволяющего рассчитывать колебательно-вращательные спектры двухатомных молекул с высокой точностью (учет мультиплетного расщепления, возможность использования одно- или двухтемпературного распределения заселенности состояний, учет аппаратной функции прибора) В расчетных спектрах варьировался параметр Тго, , минимизирующий отклонение расчетного спектра от экспериментального По

В0

найденному значению Тго1, из известной оценки для азота Tg « Trol —7- = 1 09Trol (В°с

и В*е - вращательные постоянные состояний X'LU+ и С3Пи+ ) определялась газовая температура молекул азота - актинометра, распространяемая на исследуемую плазму в целом

На Рис 7 приведены зависимости газовой температуры для трех составов газовой смеси, с примерно одинаковыми давлениями в камере реактора, от ВЧ-мощности, вложенной в разряд Установлено, что Tg может достигать 1300 К, слабо зависит от давления в исследованном диапазоне и линейно - от ВЧ - мощности, вложенной в разряд Абсолютное значение поступательной температуры существенно отличается для различных плазмообразующих газов (N2, CHF3/(7%N2), SF6/(7%N2))

Наблюдается корреляция газовой температуры плазмы с энергией связи и, соответственно, энергией диссоциации молекул N2 (9 8 эВ) и SF6, CF4 (40-51 эВ) Диссоциация в молекулярной плазме приводит к трансформации колебательного возбуждения молекул в кинетическую энергию диссоциирующих фрагментов Очевидно, этот процесс облегчен во фторсодержащей плазме, по сравнению с плазмой N2, и обеспечивает ее более сильный разогрев

Рис 7 Зависимость газовой температуры плазмы (7*г) от вкладываемой в разряд мощности (РщО для исследуемых газовых смесей

Измеренные значения Гг в ВЧИ-реакторе плотной плазмы в 2-3 раза выше, чем в емкостных ВЧ-реакторах предыдущего поколения Это необходимо учитывать при разработке прецизионных технологий травления наноразмерных структур, так как именно газовая температура плазмы может стать фактором, ограничивающим анизотропию при формировании таких структур в реакторах низкого давления Рассмотрены различные аспекты влияния повышенного значения Тг на процессы плазмохимического травления микроструктур

В Главе 4 предложен и обоснован новый вариант метода зонда Ленгмюра -динамический ленгмюровский зонд (ДЛЗ) - для измерения параметров полимеробразующей низкотемпературной плазмы низкого давления В основе метода - поддержание поверхности зонда свободным от непроводящих пленок при помощи циклически используемого режима импульсной ионной очистки Циклограмма таких измерений показана на Рис 8

При импульсном переключении потенциала зонда (Ург) из режима ионной очистки в режим измерения ВАХ необходима временная задержка в измерении тока для установления квазистационарного слоя ОПЗ В области потенциалов, отвечающих электронной ветви ВАХ, в плазме полимеробразующих газов (СНРз, С4Р8 и др) на чистый зонд начинается осаждение непроводящих пленок, скорость которого характеризуется временем адсорбции монослоя 4а Этот процесс значительно искажает электронную ветвь ВАХ при традиционной развертке потенциала зонда Оценки показывают, что в ИПП-реакторах низкого давления » ¡с/, при этом в интервале времени (г„,еш)> определяемом из 4< ¡теа! < /оЛ ,

измерение зондового тока дает точку вольт-амперной характеристики, адекватной, с одной стороны, теориям тока для призондового слоя Чайлда-Ленгмюра, а с другой -не искаженную загрязнением поверхности зонда

40

< 30 X

Vetean

Рис 8 Циклограмма измерения ВАХ зонда в полимеробразующей плазме с динамической ионной очисткой

о

-5

Рис 9 Эволюция вольт-амперной характеристики зонда при вариации параметра / - 0 мс (отсутствие

режима динамической чистки), 2 - 10 мс, 5 - > 20 мс

-60 -40 -20 0 20 40

Интервал tmeas определен экспериментально, при давлении р < 10 мТорр временное окно для корректных токовых измерений после импульсного установления произвольного зондового потенциала составляет 2 мкс < tmeas <15 мкс (плазма CHF3) Измерению в каждой точке ВАХ предшествует режим ионной чистки с длительностью tc¡ea„ Корректность метода ДЛЗ проверена на плазме аргона сравнением вольт-амперных характеристик, измеренных с традиционной разверткой потенциала, и по циклограмме Рис 8 Отсутствие отличий подтвердило корректность динамического режима измерений Влияние изменения параметра tckan на вид ВАХ зонда в плазме CHF3 показано на Рис 9

Рассчитанные из ВАХ параметры плазмы CHF3 показывают, что в ВЧИ-реакторе достигаются значения п,+ = 2 0 10м см"3, пе= 6 0 Ю10 см"3 иГс = 62эВ(р = 6 шТогг, Р = 600 Вт) Плазма является электроотрицательной, n,Jnl+ - 0 6-0 7 Измерения, сделанные в плазме аргона при тех же внешних параметрах разряда, показывают п = 2 8 1011 см"3, Те = 3 3 эВ С применением метода ДЛЗ открываются новые возможности оптимизации процессов травления микро- и наноструктур в плазмах, содержащих пассивирующие компоненты (полимерообразующие и оксидные)

ВИМС-исследование поверхности зонда показало, что после работы в химически активной плазме она обогащена компонентами плазмы (углерод, фтор) Установлено, что такая модификация поверхности сильно снижает работу выхода материала зонда (вольфрама) с 4,54 эВ до 1 3-1 4 эВ Стационарные зондовые измерения в плотной плазме (плотность тока электронов Je~ 0 5-1 А/см2 при V = Vp) приводят к значительному разогреву зонда (~1200 К) и возникновению паразитной термоэлектронной эмиссии, искажающей вольтамперную характеристику Данный факт является дополнительным свидетельством в пользу применения в реагирующей плазме метода ДЛЗ, исключающего термоэмиссионный эффект за счет удержания температуры зонда на низком уровне при импульсных токовых измерениях в электронной ветви ВАХ

Развитие зондовых методов диагностики реагирующей плазмы позволило предложить на их основе методы мониторинга т situ плазмохимического травления микроэлектронных структур, которые были опробованы в ходе травления структур Si02/Si, poly-Si/Si02/Si плазмой смеси газов SF6/02(5%)/Ar(3%) (ВЧИ-реактор) В плазменных реакторах низкого давления потоки продуктов реакций с поверхности пластины сопоставимы с потоками плазмообразующих газов в камеру

Естественно предположить, что значительное изменение химии плазмы при смене травящихся слоев не может не сказаться также и на параметрах ее заряженных компонентов - ионной (и,+,«,.) и электронной (пе) плотности, возможно и на ФРЭЭ Кроме того, смена проводящего слоя поликремния, подвергаемого травлению, на диэлектрический (граница p-Si - Si02) при контакте с плазмой может повлиять на потенциал плазмы (Fp), и на плавающий потенциал (Vj) В реакторах с удаленным источником плазмы диагностический зонд размещается в периферийной области реактора, что никак не сказывается на технологическом процессе

Характерные результаты этого мониторинга показаны на Рис 10(а, б) Моменты изменения зондовых токов на Рис 10 совпадают с достижением границ p-Si/Si02 и Si02/Si-mracTHHa, что подтверждается синхронным эмиссионным спектральным мониторингом плазмы Отчетливые изменения в момент достижения границ слоев наблюдались также в значениях V) и Те (в максвелловском приближении), измеренных m situ Зарегистрированные зондовой диагностикой кривые отражают изменения фундаментальных параметров плазмы в ходе травления структур

В таблице 2 приведены данные, полученные в одном из процессов травления, на основе полного анализа ВАХ, измеренных in situ (режимы разряда одинаковы во всех случаях)

'probe электР0Н ветвь, мА 35302520 15 10

20

-l(Vprobe- + «В)

- -l(Vprobe-»6B)

1 KVprobe" °в)

P-Si Si02

\

s ч ^ - ^

30

40 50 t, С

60

70

probe

-0,50

ион ветвь, мА

-0,52 -0,54 -0,56 -0,58 -0,60 -0,62 -0,64

'(V^'-бОВ)

p-Si S|02 1 c-Si

20 30

40 50 t,C

60

70

а) б)

Рис 10 Параметры плазмы, выводившиеся автоматизированным зондовым комплексом в ходе травления структур p-Si/Si02/Si

а) ток зонда (Iprobe) при выбранном фиксированном потенциале ( Vprûbe) в электронной ветви ВАХ,

б) ток зонда {Iprobe) ПРИ выбранном фиксированном потенциале (Уртье) в ионной

ветви ВАХ

Таблица 2 Значения параметров технологической плазмы Б^/О^Аг в зависимости от свойств поверхности, подвергаемой плазмохимическому травлению

»,*, п„ Т£, vf, vP, Поверхность, контактирующая

см"3 см"3 эВ В В с плазмой БРб/Ог/Аг

1,4x10" 5,6х10ш 5,3 -18,0 +2,0 Поликремний (р-БО

1,6x10" 7,4x10'" 4,8 -17,0 +2,0 8Ю2

1,4x10" 5,6x10'° 5,3 -18,0 +2,0 Кремниевая подложка (с-81)

1,5x10" 8,7х10|и 4,3 -10,0 +8,0 Пустая камера (нерж сталь)

В таблице иллюстрируются устойчивые тенденции, сохраняющиеся во всех экспериментах при вариации внешних технологических параметров - мощность, вложенная в разряд, мощность ВЧ-смещения, давление в камере, газовые потоки {Ркг, Рь,а! , р, А) При травлении оксида кремния происходит увеличение электронной плотности, в среднем, на 20-50% по сравнению с этапом травления р-Б1 Одновременно на границе р-в^Юг наблюдается устойчивое повышение на 10-20% плотности положительных ионов Вместе с этим происходит снижение Те на 10-35% Смена химического состава плазмы из-за изменения потока кремнийсодержащих

продуктов реакции с поверхности микроструктуры сопровождается небольшим, но отчетливо наблюдаемым, увеличением степени ионизации и изменением режима электронных столкновений, что влияет на Те Кривые in situ, приведенные на Рис 11, изменения Г/И Г, могут быть использованы для остановки травления как на границе p-Si/Si02, так и S1O2/S1 Критерием выбора регистрируемой величины в данном случае является отношение сигнал/шум, наибольшее для I,(sat), Ie(sal) и V/

Существенным изменениям в ходе травления подверглась и функция распределения электронов по энергиям Она была получена из измеренных in situ зондовых ВАХ двойным дифференцированием зондового тока Результаты

■н»

приведены на Рис 11 и 12 ФРЭЭ нормированы условием

о

В плазме инертных газов Ar/Не (отсутствие травления) распределение близко к максвелловскому, Те = 3 1 эВ при р = 4 мТорр, Prf = 600 Вт (Рис 11, кривая а) Существенно больший практический интерес представляет ФРЭЭ в плазме технологической смеси SF^/'Oj/Ar при том же давлении и вкладываемой ВЧ-мощности в незагруженной камере реактора (Рис 11, кривая б)

Рис И Функция распределения Рис 12 Функция распределения электронов по энергиям f(e) в плазме электронов по энергиям f(e) в плазме Аг/Не (а) и рабочей смеси газов SVJOi/Ai , измеренная in situ при SF^Oj/Ar при отсутствии пластины в плазменном травлении структур р-реакторе (б) (1СР-источник, 13 56 МГц, Si/SiO^/Si (ICP-источник, 13 56 МГц, PRF PRF = 600 Вт, р = 5 мТорр) = 600 Вт, р = 5 5 мТорр)

а) травление слоя p-Si (Те^= 6 3 эВ),

б) травление слоя Si02 (Jeff~ 3 8 эВ) -25-

Рис 116 свидетельствует, что смена химии плазмы привела к значительным изменениям в энергетическом распределении электронов, которое приобрело вид бимаксвелловской ФРЭЭ с температурой холодных электронов Тес - 2 3 эВ и горячего хвоста Teh = 5 5 эВ Явные изменения наблюдались и непосредственно на выделенной электронной ветви Ie(Vpr)

Данная ситуация с изменением ФРЭЭ внешне напоминает эффект, наблюдавшийся ранее (Глава 2) Но там изменения функции распределения электронов по энергиям были связаны с изменением типа разряда - от ВЧИ (13 56 МГц) разряда к СВЧ-разряду (2 45 ГГц), и наблюдались в плазме одного и того же газа В исследуемом случае, трансформация ФРЭЭ происходит с переходом от атомарного к молекулярным многоатомным и электроотрицательным газам в одном и том же типе разряда Скорее всего, она связана с резонансными пиками неупругих потерь электронов в области 8 - 12 эВ в сечении рассеяния электронов на нейтральных молекулах SFe

При помещении обрабатываемой пластины в камеру (Рис 12а,б) происходят дальнейшие изменения ФРЭЭ плазмы На энергетических распределениях электронов появляются новые особенности, по сравнению с незагруженным реактором В обоих случаях наблюдается обеднение высокоэнергетичной части ФРЭЭ (в большей степени для случая травления слоя поликремния), а также еще более сильное отклонение от максвелловского вида в области е> 10-15 эВ Очевидно, поступление продуктов травления в плазму создает новые каналы неупругих потерь электронов Если скорость установления равновесного распределения недостаточно высока, то наличие таких каналов должно вести к видоизменению стационарной ФРЭЭ в диапазонах энергии, где потери электронов наиболее вероятны

Детальное исследование энергетического распределения электронов плазмы в ходе травления микроэлектронных структур при разных технологических параметрах {Prf, Pbias > Рг fl) показывает его сильную зависимость от условий разряда Определенные сочетания давления и расходов газа при средних и больших уровнях ВЧ-мощности, вложенной в разряд, позволяли минимизировать горячие хвосты ФРРЭ, уменьшая негативные эффекты зарядки при травлении Таким образом, показано что зондовая диагностика m situ плазмохимического травления может быть использована как средство оптимизации технологии травления

Глава 5 посвящена развитию спектральных методов для in situ мониторинга

плазменных технологий Методы спектральной эмиссионной спектроскопии

способны быть основой эффективных end-point детекторов в процессах

плазмохимического травления микро- и наноэлектронных структур, содержащих

-26-

ультратонкие слои диэлектриков. Экспериментально подтверждена высокая чувствительность метода вплоть до толщин SiC>2 2.2 и 5 нм. Пример эмиссионного мониторинга травления структур po]y-Si/Si02/Si автоматизированным комплексом на основе акустооптического спектрометра «Кварц-2000» иллюстрируется Рис. 13.

Временное разрешение метода позволяет установить момент перехода к травлению подзатворного Si02 по традиционному увеличению интенсивности линий F* и О* из-за смены поверхностных реакций на границе слоев p-Si/Si02 и изменения баланса фтора и кислорода в объеме плазмы (участок а-b). Уверенно наблюдается также и травление тонкого естественного окисла (< 2 нм) на поверхности p-Si. При этом интенсивности линий F* и О* в момент его вскрытия (breakthrough stage) те же, что и при травлении подзатворного диэлектрика.

Ф, отн.ед. 50

4030 2010 0

p-Si

I SiO,

Собсгв.

V-Mw*'

c-Si

20

40

60 t, С

Рис. 13. Результат мониторинга интенсивности избранных эмиссионных линий плазмы F*(703.7 нм), 0*(844.6 нм) и Аг(750.39 нм) в ходе травления структуры p-Si/Si02/Si

Эмиссионная линия Аг (750.4 нм) удовлетворяет актинометрическим требованиям как для определения плотности атомарного фтора F* (703.7 нм), так и атомарного кислорода 0*(844.6 нм) из-за близости значений £!/п и вида afsj. Значения плотностей обоих этих радикалов в плазме в ходе травления получены актинометрической

нормировкой: nF. =KaaF.,Ar-nAr^\ п0.= Kaa0.,Ar-nAr^\ где KaaFVAr, Kacl0-/Ar ~

Лг ^ Аг

соответствующие актинометрические коэффициенты, пАг - концентрация аргона, задаваемая входным потоком в камеру. Из этих соотношений in situ вычислялась интегральная, вдоль линии визирования оптического датчика, плотность фтора пр*.

Установлено, что скорость травления слоя p-Si (ERp.s,) в плазме SF6/02/Ar линейно зависит только от nF, (Рис 14) Точки на рисунке отвечают индивидуальным технологическим процессам в пространстве задаваемых параметров {Prf, Pf,ras, р, fl) ВЧ-мощность {Prf= 400-800 Вт), ВЧ-смещение (РьЮ! = 50-150 Вт), давление в камере (р=2 5-5 5 мТорр) и расход газов (/7= 60- 180 ст см3/мин)

В то же время, в случае травления слоя оксида кремния в тех же процессах (Рис 15) линейная зависимость ERs,02 от плотности атомарного фтора «р. в плазме раскладывается на три прямые, коррелирующие со значением мощности смещения Pb,as, т е в конечном счете, - с энергией ионов (£,), бомбардирующих поверхность

ERp_s,, нм/мин

, нм/мин

260-

240- SiO,

220- i ^/Pbias = 150 Вт

200-

1В0- у*

160- У; РЫаз = 100Вт

140-

120- Pbias = 50 Вт

100-

80- -1-'-1 ■ ■ ill-■ '

5 В п .«"см''

Рис 14 Зависимость скорости ионно- Рис 15 Зависимость скорости

стимулированного травления p-Si (ERp_s,) от плазменного травления Si02 (ERS,02) от

объемной концентрации атомарного фтора объемной концентрации атомарного

(п/г») в плазме фтора (nF.) в плазме

Скорость реакции ионно-стимулированного травления ERp-s, соответствует кинетике первого порядка по фтору ERp_Sl - Kt_naa(T) nF,, а измеренные скорости травления p-Si составили 600 - 1800 нм/мин, что, в (1 0-1 З)х103 раз больше, чем дает химическая кинетика при таких же объемных концентрациях атомарного фтора (Т = 300К) Очевидно, что K,.reacl включает механизмы стимулирования реакции потоком ионов на поверхность кремния, и эта величина становится константой уже при минимальных в эксперименте энергиях ионов (Pt,as) Наблюдаемые зависимости ERSi02 = f(nF.,EJ могут быть представлены кинетикой ERSl01=Kl^reacl{T,El) nF, ,

где

.,(£,) = с Elt с ~ 0 6-0 7 Зависимость K,.react от энергии ионов

свидетельствует об изменении механизма ионного стимулирования травления Si02 в плазме SF6, по сравнению с травлением поликремния.

Таким образом, актинометрия активных радикалов z'n sz'iM является инструментом исследования кинетики гетерогенных реакций травления микроструктур в плазме. На основе полученных данных возможно развитие новых подходов к разработке технологий анизотропного травления. В частности, исследованные особенности травления затворной структуры МДП-транзистора позволяют в реальном времени управлять селективностью процесса на границе p-Si/SiC>2 в диапазоне S = 7+30, изменяя Prf и Pb!as по end-point сигналу спектрального датчика. Это исключает усложняющий технологию этап дотравливания субмикронных структур вблизи границ слоев путем изменения химии плазмы.

Динамика нарастания интенсивности линий F* и О* в момент прохождения границы p-Si/SiC>2 - положительная ступенька в сигнале (участок а-b, Рис.13) различна для процессов, в которых варьировались внешние параметры Pbias, р, fl}. Исследование пластин, травление которых останавливалось в точке а, спектральной эллипсометрией показало ее связь с величиной неоднородности скорости травления по площади структуры. Модель динамики эмиссионного сигнала в пограничной области, с учетом факта, что сигнал 1р/1лг ~ NF (интегральной концентрации фтора в плазме вдоль линии визирования оптического сенсора), была построена на основе балансового уравнения плотности фтора в плазме вблизи пластины. Геометрия модели (Рис. 16) полностью отвечала условиям эксперимента.

1 1 /IV

Plasma SF6 --: im —- Sensor

1 Poly- Si

¿iO, 1 ¿

<f-Si r=Rwaf

1 r= "wall

г=0

Рис. 16. Схема актинометрического мониторинга плазмохимического травления структуры ро1у-81/8Ю2/81, положенная в основу модели.

Концентрация атомарного фтора п{г,1) вблизи пластины в любой момент времени процесса травления (?) может быть получена из совместного решения уравнений (1) и (2)-

^а^ = +№ ■ ' - 0 - п,м/тт (О

-29-

начальными дп

граничными

D ^ дг

reaclW nF(r=Rwall)>

D

8r

условиями = 0,

A(r,0 = A(r,0) - ¡Км (г,0 nF{r,t)dt,

nr(r,0) = const,

(2)

где соответствующие константы скорости поверхностных реакций имеют вид K„M(r<R,qr,t)=KSIO2,0sh(r,t)<hSlO2, Krm,(R,a}<r<Rmll,t) = Q, (3)

Krcaa(г = Rwa„,t) = KrcaaW, - константа гибели атомарного фтора на стенках реактора

Параметр ср(г) - модельная функция радиальной неоднородности источника атомарного фтора в плазме, которой можно управлять степенью неоднородности скорости травления по радиусу пластины ER(r) Решение (1) и (2) дает радиальное распределение фтора в реакторе в любой момент травления Сигнал, который

Rival!

регистрируется оптическим датчиком, рассчитывается как Ne(t) = 2 jnF(r,t)dr

о

Уравнения решались численно Интегрирование радиальных профилей пр(г) в моменты времени от вскрытия первой точки S1O2 до полного удаления слоя p-Si дает зависимости Nf(t'), моделирующие сигнал оптического актинометрического датчика в области «ступеньки»

Рис 17 Расчетная динамика изменения интегральной концентрации фтора ЛТД/'), в ходе травления ро1у-81/81С>2 в переходной области, в зависимости от параметра неоднородности травления (Ь)

Полученная расчетная динамика сигнала показана на Рис 17, степень неоднородности представлена в виде отношения максимальной к минимальной

ER

скорости травления p-Si b =-Область перехода между слоями

ERr-R,qf

характеризуется временным интервалом (шириной) W, в течение которого значение производной (dNp/dt) отлично от нуля, и значением этой производной Для адекватного использования параметра W следует использовать его безразмерный W

аналог dW =--, где t 'poiys, - время травления слоя поликремния Анализ

t poly-Si

показывает, что зависимости dW=f(b) и максимума производной max dNp/dt =f(b) на интервале dW имеют вид, показанный на Рис 18 и Рис 19, соответственно

Рис 18 Безразмерное время травления пограничной области poly-Si/Si02

W

(dW = --) как функция неоднород-

^ poly-St

ности скорости травления (b) по радиусу пластины

1 - для гауссовой функции радиальной неоднородности источника фтора в плазме <р(г),

2 - для параболической функция ср(г)

Рис 19 Максимальное значение производной интегрального сигнала фтора (max dNp/dt) в переходной области как функция параметра (6) - неоднородности скорости травления слоя поликремния по радиусу пластины

1 - для гауссовой функции радиальной неоднородности скорости генерации фтора в плазме ф(г),

2 - для параболической функции ср(г)

Видно, что значение этих параметров не слишком сильно зависит от вида ср(г), а главным образом определяется степенью неоднородности травления по площади

пластины b Иными словами, dW и тах dNp/dt являются индикативными параметрами, актинометрического сигнала, позволяющими в реальном времени количественно оценивать неоднородности скорости травления по площади пластины Параметр dW линейно зависит от неоднородности травления, а max dNF/dt имеет характеристику гиперболического вида, и особенно чувствителен к малым величинам b при степени неоднородности < 5% Используемый автоматизированный комплекс обладает разрешением 100 мс, что позволило проверить модель измерением параметра dW Экспериментальные результаты, представленные на Рис 20 свидетельствуют об удовлетворительном количественном соответствии модели реальным плазмохимическим процессам

OOS 0 07 OOS

s

X 0 05 ь о

0 04 0 03 0 02

Рис 20 Экспериментально измеренные степени неоднородности b в процессах травления структур poly-Si/Si02 и параметр dW, полученный из данных эмиссионного мониторинга процесса

В процессах с малой площадью окон травления, когда «ступеньки» сигнала (участки a-b, c-d Рис 13) становятся сопоставимы со случайными флуктуациями эмиссии плазмы, для применения эмиссионного мониторинга предложен и разработан метод синхронного спектрального детектирования (ССД) момента окончания процесса В его основу положено фазовое детектирование спектрально разрешенного эмиссионного сигнала плазмы на собственной частоте плазмообразующего генератора либо на частоте его внешней модуляции Это приводит к резкому ослаблению шумовой компоненты оптической эмиссии, некогерентной с опорным (модулирующим) сигналом В результате, решается

проблема контроля in situ технологий травления микроструктур с суммарной площадью окон < 1% от площади пластины В частности, этот метод позволяет определить момент окончания травления контактных отверстий (площадь ~ 06 - 1%) в системах многоуровневой металлизации УБИС Техническое решение защищено патентом РФ

Для in situ мониторинга процессов осаждения диэлектрических пленок в ИПП-реакторах выполнена инструментальная адаптация метода спектральной эллипсометрии В работе использовался многоканальный эллипсометр ЕМ-70 (ИРЭ РАН) с бинарной модуляцией состояния поляризации пучка белого света, установленный на камеру реактора плазмохимического осаждения диэлектриков На примере осаждения S1O2 продемонстрированы возможности контролируемой технологии плазмохимического осаждения (PECVD) по росту пленок с диагностикой отклонений от стехиометрического состава и мониторингом толщины растущей пленки в реальном времени процесса

Применение эллипсометрии в спектральном режиме позволяет контролировать одновременно оптические константы и толщину слоев без изменения углов поляризатора и анализатора и его дополнительной юстировки на ростовой камере В настоящем исследовании спектральный вариант эллипсометрии применен для контроля состояния поверхности (отсутствие загрязнений) перед ростом пленки и контроль качества пленки непосредственно после ее плазмохимического осаждения в реакторе В режиме мониторинга на избранной длине волны (где отсутствуют помехи от эмиссии плазмы) осуществляется контроль процесса роста по траектории в координатах эллипсометрических углов Ч'-А, в том числе и отклонения от заданного состава пленки, либо измеряется толщина d растущей пленки в реальном времени (при заведомо постоянных значениях оптических констант)

Разрешение метода по толщине (~0 1 нм) в режиме реального времени позволяет использовать его от перспективных технологий PEALD - плазмостимулированного атомного осаждения пленок толщиной от 1-2 монослоев, до применения в традиционных процессах PECVD при росте пленок субмикронной толщины

В Главе 6 развиты алгоритмы малоракурсной эмиссионной томографии плазмы

технологических реакторов микроэлектроники, которые основываются на методах

классической реконструктивной томографии Необходимость таких исследований

вызвана отсутствием доступных методов для исследования распределения

незаряженных активных радикалов плазмы по сечению реактора Диагностика

радикалов лазерно-индуцированной флюоресценции (ЛИФ) с пространственным

разрешением, применяемая ранее на реакторах с емкостным разрядом, не выходила за

-33-

рамки отдельных экспериментов, и практически невозможна в современных широкоапертурных ИПП - реакторах по конструктивным соображениям

Реконструктивная эмиссионная 2Б - томография базируется на решении обратной задачи отыскания функции интенсивности источников /(г,<р) (в полярных

координатах) по оценкам интегралов интенсивности Р, = |/(г,$»)с#, (лучевым суммам)

вдоль множества прямых Ь с использованием обратного преобразования Радона [7]

Д^АП—7Г-П р!(1№М> (4)

0.1ГСО5(0-<р)-1

где Р, {1,9)- частная производная интеграла интенсивности по I, I - удаление линии, вдоль которой регистрируется интеграл Р, , от начала координат, О - ракурсный угол, отвечающий положению сканирующего датчика на дуге относительно центра координат

Метод 2Б реконструкции должен соответствовать схеме сканирования Единственная схема, совместимая с технологическим плазменным реактором, обладающим двумя ограниченными по апертуре оптическими портами (типовой случай), показана на Рис 21 Область реконструкции ограничена областью пересечения максимальных углов сканирующего устройства Сетка для веерной схемы, задается минимальным угловым шагом (о) устройства сканирования

томографии, совместимая с плазмохимическими реакторами микроэлектроники

В данной геометрии каждый луч (линейный интеграл) характеризуется двумя параметрами а и /? Угол р определяется ракурсом, с которого снимаются данные

-34-

Измерение интегральной интенсивности лучей производится перемещающимися по углу детекторами на оптических портах, находящейся на расстоянии D от начала координат - центра реактора. Параметры луча / ив, применяемые в параллельной сетке связаны с параметрами а и /? для веерного пучка как: l = D sin а, /? = <?-о-. Замена переменных в (4) дает преобразование Радона для веерной схемы сканирования:

Яг,ф) = (а' -а) + д2 (а' - <т)]> (a, ¡3)dadjB,

(5)

где W = -J[rcos(/?-<f>)f +[D + rsin(/?-ф)¥ , а = arctg

rcos(/?-(ZÍ)

+ г $т(/3 -1/

д2 - аподизирующие функции, ограничивающие частотный спектр Р(а,Р) на дискретной веерной сетке.

Проблема в том, что преобразование Радона (4,5) имеет единственное решение /(г,ф) - двумерное распределение интенсивности (изображение), если имеется полная совокупность лучевых сумм в непрерывном угловом диапазоне ракурсов [0, 2я]. Ограниченное множество данных всего с нескольких ракурсов дает весьма неточные результаты реконструкции, изобилующие артефактами. Эти проблемы детально исследовались в [8] и иллюстрируются для принятой схемы сканирования Рис. 22.

го-

ШШШШ й

- шЯйР!

ч- ■

Рис. 22. Результат реконструкции единичного пика с профилем функции Гаусса традиционным методом свертки в случае двух ракурсов сканирования. Веерная сетка преобразована к прямоугольной. Наблюдаются протяженные артефакты вдоль ракурсов.

На томографических фантомах (множественных гауссовых пиках, синтезированных в поле восстановления) исследовался характер артефактов в схеме двухракурсного сканирования при реконструкции известными методами свертки, обратного проецирования, ART, Фурье синтеза, максимума энтропии [7,8]

Установлено, что в любом из методов амплитуды протяженных и локальных артефактов сопоставимы, однако реконструкция области истинного расположения пика для неоднородностей диффузного типа, характерного для плазменных объектов, точнее происходит с применением методов обратного проецирования и максимума энтропии

Облегчить решение задачи можно, вводя в алгоритм реконструкции аксиоматические предположения о физических свойствах неоднородностей плазмы В качестве априорной информации был выбран допустимый вид функции, описывающей «элементарную» неоднородности Допуская суперпозицию элементарных неоднородностей без их взаимодействия, задачу можно свести к отысканию параметров этих функций по результатам начальной томографической реконструкции известным преобразованием Общее поле реконструкции представляет собой суперпозицию единичных неоднородностей заданного вида F„, параметры которых оптимизируются с использованием итерационных процедур

Предполагается, что пространственное растекание незаряженных частиц в плазме (атомарных, молекулярных радикалов) в сечении реактора лимитируется диффузией, и, следовательно, с большой степенью вероятности может быть описано Гауссовым профилем (6) или в виде ет^функции (7)

(х-х„)2+(>>->> )

2 N

(6)

1-ег/

(7)

где амплитуда, хр ур - положение максимума, ар - ширина профиля (пика)

Латеральные неоднородности ионов предпочтительно описывать другими функциональными зависимостями, например, параболической

^з (x,y,xp,yp,A етр)=Ар

1-

(х-хр)2+(у-у„У

, <J(.x-xp)2 +(у-ур)2 <<ТР

(В)

или функцией Бесселя 1-го порядка (амбиполярная диффузия плазмы)

(Р-ХР)2НУ-УР)2}<ГР< Л„, (9)

где Л0 - 1-й ноль функции Бесселя

Ниже показана блок-схема предложенного алгоритма двухракурсной томографической реконструкции неоднородностей плазмы

Вычитание вклада пика, найденного в БТЕР-З, из экспери ментальных лучевых сумм и повторное применение алгоритма реконструкции приводят к тому, что в восстановленном поле резко уменьшается амплитуда артефактов, связанных с вычтенным пиком Т е циклическая процедура вычленения пиков способствует последовательному удалению основных артефактов реконструкции

Алгоритм протестирован на томографических фантомах, генерированных случайным образом на веерной сетке 40x40 Типичные результаты реконструкции фантомов показаны на Рис 23

В комплексе с экспериментальной системой двухракурсного томографа, с акустооптическими фильтрами-монохроматорами в каналах, алгоритм был проверен на реальной физической модели - системе 3-х источников света, взаимно не дающих перекрытия вдоль ракурсов, где были установлены сканирующие датчики (Рис. 24).

Рис. 23. Томографическая реконструкции (ХО) фантома (ХП), состоящего из 5 гауссовых пиков. Среднеквадратичная ошибка реконструкции поля интенсивностей ЭБ = 0.044.

ХО

а) б)

Рис. 24. Проверка алгоритма двухракурсной томографии на физической модели (а) и результат реконструкции (б): ошибка в восстановлении координат и размеров источников света 10-15%, соотношения интегральных яркостей - 20%.

Томография плазмы выполнялась в поперечном сечении камеры опытного плазменно-иммерсионного имплантера ФТИАН [А31], в зоне обработки пластины В качестве объекта исследования была использована плазма ВР3 /(1% Аг) Такой выбор обусловлен двумя причинами - в ее составе заметна эмиссия нейтральных радикалов фтора Р* (X = 703, 7 нм), томографию которого можно провести в акгинометрическом варианте с нормировкой на поле интенсивности аргона Аг (I = 750, 4 нм) Одновременно в спектре присутствует интенсивная линия ионов бора В+ (X = 345, 1 нм), порог возбуждения которой = 12 69 эВ) также позволяет использовать Аг в качестве актинометра Актинометрическая нормировка исключает влияние изменений Те по сечению реактора на абсолютную величину неоднородности концентрационного поля

Методически процедура актинометрии проводится таким же образом, как изложено в Главе 3, с той лишь разницей, что после реконструкции применяется нормировка 2В-интенсивности исследуемой частицы на 2Б-интенсивность актинометра

Чтобы сделать плазменные неоднородности более очевидными, в камеру реактора

были внесены конструктивные изменения, возмущающие однородность плазмы в

известных пространственных зонах В частности, была демонтирована

газораспределительная система (газовый душ), и ввод плазмообразующей смеси

осуществлялся свободным истечением газа по вертикальной оси, смещенной от оси

реактора на 100 мм, а также намеренно искажалось симметрия магнитного поля

пристеночной системы

Результаты томографических исследований двумерного распределения

нормированных концентраций [В+]/[Аг], [Т*]/[Аг] приведены на Рис 29 Центр

камеры и центр пластины, соответственно, отвечает координатам (х,у) = (90,90)

При низком давлении в камере (2 мТорр) отчетливо видна неоднородность в поле

ионов В+ (Рис 29 а) и асимметрия в поле концентрации Б* (Рис 29 б), возникающая

на периферии реактора в области проекции газового ввода Всплеск концентрации

ионов в ~2 5 раза больше среднего значения по сечению, в то время как для

незаряженных радикалов искажается лишь осевая симметрия поля Очевидно, это

связано с различным характером истечения и диффузии заряженных и нейтральных

частиц из зоны плазмообразования В центральной области реактора градиенты

концентрации поля как ионов так и радикалов значительно меньше, однако

неоднородности ионов более локализованы, в то время как концентрационный

профиль радикалов фтора является имеет меньший градиент

По мере повышения давления структура потока плазмы в камере реактора

приобретает характер диффузионного истечения, и происходит постепенное

-39-

выравнивание поля концентраций как ионов (Рис. 29 в), так и активных радикалов (Рис. 29 г) в зоне обработки пластины. При этом в пределах площади пластины (г = 75 мм) наблюдается ~ 30% неоднородность концентрации как ионов, так и радикалов с максимумом в центре реактора, однако их симметрия несколько различается.

50 80 100 120 140 160 20 40 60 ВО 100 120 140 160

X соогс1та1е, тт X соогсЛпа1е, тт

X соогс11па1е, тт

X соог(Лпа1е, тт

Рис. 29. Эволюция латерального распределения ионов В+ (а, в) и нейтральных радикалов Р* (б, г) с ростом давления в камере плазмохимического реактора.

Следует заметить, что эти результаты получены с намеренно введенными неоднородностями, наглядно показывающими возможности эмиссионной томографии для оптимизации режимов разряда и получения латерально однородной плазмы в зоне обработки микроэлектронных структур.

В Заключении приведены основные выводы по выполненной работе, фактически являющиеся положениями, выносимыми на защиту.

-40-

В Приложениях Ш - П4 приведены технические характеристики разработанных автоматизированных комплексов диагностики, которые реализуют методы in situ контроля плазменных технологий микроэлектроники Все комплексы адаптированы к современным ИПП-реакторам Здесь же приведен листинг программы, реализующей алгоритм томографической реконструкции

ПУБЛИКАЦИИ АВТОРА ПО ТЕМЕ ДИССЕРТАЦИИ (в хронологическом порядке)

А1 АП Ершов, А В Калинин, ЮС Ключников, К.В. Руденко, А А Орликовский Мониторинг параметров плазмы C2F6 в процессе травления Si02 // Тр Всероссийской конф «Микроэлектроника-94» ,М, 1994, ч 1, с 291-292 А2 АП Ершов, А В Калинин, ЯН Суханов, К.В. Руденко Применение зонда Ленгмюра для определения момента окончания процесса травления Si02 на кремнии // Вестник МГУ, сер физ , астроном , 1995, т 36, вып 6, с 18-22 A3 Ю П Барышев, А П Ершов, А В Калинин, А А Орликовский, К.В. Руденко, В Н Семененко, Я Н Суханов, Н И Пискун Мониторинг плазменного травления Si02/Si и момента окончания травления // Микроэлектроника, 1996, т 25, №5, с 373-379

А4 V A Yunkin, V F Lukichev, K.V. Rudenko, D Fisher, E Voges Experimental study and computer simulation of aspect ratio dependent effects observed in silicon reactive ion etching // Microelecronic Engineering, 1996, v 30, p 345-348 A5 К В. Руденко, А А Орликовский, G Roeder Актинометрический контроль плазменного травления структур noflH-Si/Si02/Si возможности и ограничения метода // Тр Всероссийской конф «Микро- и наноэлектроника -98», Звенигород, 1998, т 1, с 03-5 А6 С Н Аверкин, К А Валиев, В В Кошкин, А А Орликовский, К.В. Руденко, ЯН Суханов Микроволновый широкоапертурный плазменный источник для обработки 300-мм кремниевых пластин // Микроэлектроника, 1999, т 28, № 6, с 427

А7 S Averkm, A Orlikovsky, К. Rudenko, Ya Sukhanov, К Valiev Microwave Wide Aperture Plasma Source for 300-mm Wafer Processing // Proceedings of FTIAN, 2000, v 16, pp 3-7

A8 AP Ershov, AV Kalinin, A A Orlikovsky, K.V. Rudenko, YaN Sukhanov Time-Resolved 02 Plasma Parameters of Low Frequency Discharge in Diode Type Plasma Reactor // Proceedings of FTIAN, 2000, v 16, pp 25-31

А9 K.V. Rudenko, A A Orhkovsky G. Roeder. Monitoring of the PoIy-Si/Si02/Si Plasma Etching by the Optical Emission Actinometry // Proceedings of FTIAN, 2000, v 16, pp 32-37

A10 КВ.Руденко Метод оптической актинометрии в применении к химически активной низкотемпературной плазме // В кн «Физические основы плазменных и лучевых технологий» / Под ред А Ф Александрова, В И Петрова М, МГУ, 2000, с 57 - 64

All А А Орликовский, К.В Руденко Диагностика т situ плазменных технологических процессов микроэлектроники современное состояние и ближайшие перспективы Часть I // Микроэлектроника, 2001, т 30, № 2, с 85-105

А12 А А Орликовский, К.В. Руденко, Я Н Суханов Диагностика in situ плазменных технологических процессов микроэлектроники современное состояние и ближайшие перспективы Часть II //Микроэлектроника, 2001, т 30, № 3, с 163182

А13 А А Орликовский, К.В Руденко Диагностика т situ плазменных технологических процессов микроэлектроники современное состояние и ближайшие перспективы Часть III // Микроэлектроника, 2001, т 30, № 5, с 323344

А14 А А Орликовский, К.В Руденко, Я Н Суханов Диагностика in situ плазменных технологических процессов микроэлектроники современное состояние и ближайшие перспективы Часть IV // Микроэлектроника, 2001, т 30, № 6, с 403433

Al5 К.В. Руденко, А А Орликовский Проблемы мониторинга плазменных технологических процессов диагностика плазмы и поверхности // Тр Всероссийской конф «Микро- и наноэлектроника 2001», Звенигород, 2001, т 1, с 03-6

Al6 АП Ершов, ВФ Лукичев, К.В.Руденко, ЯН Суханов Влияние электрического поля в плазме на электронную ветвь ВАХ Ленгмюровского зонда моделирование методом Монте-Карло // Тр Всероссийской конф «Микро- и наноэлектроника 2001», Звенигород, 2001, т 2, с РЗ-27

А17 С Н Аверкин, А А Орликовский, К.В. Руденко Плазменно-иммерсионная ионная имплантация бора для создания ультрамелких р+-п переходов в кремнии // Тр 3-го Международного симпозиума по теоретической и прикладной плазмохимии Плес, 2002, т 2, с 360 - 362

Al 8 АП Ершов, А А Орликовский, К.В. Руденко, Я Н Суханов Параметры плазмы BF3 в установке плазменно-иммерсионного имплантера // Тр 3 - го

Международного симпозиума по теоретической и прикладной плазмохимии Плес, 2002 , т 2, с 426 - 427 А19 СН Аверкин, АП Ершов, А А Орликовский, К.В. Руденко, ЯН Суханов Зондовая диагностика плазмы ВЧ- и СВЧ- источников в иммерсионном ионном имплантере // Тр XXX Звенигородской конференции по физике плазмы и УТС М, 2003,с 192

А20 К В. Руденко, Я Н Суханов, Н И Базаев Возможности синхронного детектирования эмиссионного сигнала плазмы при мониторинге травления структур Si02/Si // Микроэлектроника, 2003, т 32, № 4, с 271-276 А21 К А Валиев, А А Орликовский, К.В. Руденко, Ю.Ф Семин, ЯН Суханов Способ контроля момента окончания травления в плазме ВЧ- и СВЧ разряда в технологии изготовления полупроводниковых приборов и устройство для его осуществления // Патент РФ № 2248645, МКИ H01L 21/66 от 12 02 2003 Опубл Бюлл ФИПС №8 20 03 2005 А22 К А Валиев, А А Орликовский, К В. Руденко, Ю Ф Семин, Я Н Суханов Способ контроля плазмохимических процессов травления дифференциальной оптической актинометрией и устройство для его осуществления // Патент РФ № 2248646, МКИ H01L 21/66 от 06 05 2003 Опубл Бюлл ФИПС №8 20 03 2005 А23 K.V. Rudenko, AV Fadeev, A A Orlikovsky, К A Vahev Tomographic reconstruction of space plasma inhomogeneities in wide aperture plasma technology equipment under strong restriction on the points of view // Proceedings of SPIE, 2004, v 5401, p 79-85

A24 Ya N Sukhanov, A P Ershov, K.V. Rudenko, A A Orlikovsky Comparative study of inductively coupled and microwave BF3 plasmas for microelectronic technology applications // Proceedings of SPIE, 2004, v 5401, p 55-63 A25 K.V. Rudenko, AV Fadeev, A A Orlikovsky, К A Vahev The study of radical density distribution m plasma etchers by emission tomography technique // Proceedings of 16th International Vacuum Congress, Venice (Italy) 2004, Book 2, p 896

A26 К.В. Руденко Анализ параметров плазмохимического травления кремниевых микроструктур по данным in situ оптической эмиссионной актинометрии // Тр IV Международного симпозиума по теоретической и прикладной плазмохимии (ISTAPC-2005) Иваново, 2005, т 2, с 521 - 524 А27 Y N Sukhanov, А Р Ershov, K.V. Rudenko, A A Orlikovsky On the parameters of inductively coupled and microwave BF3 plasmas used for plasma immersion ion implantation // Plasma Process Polym, 2005, v 2, p 472-479

А28 С H Аверкин, К А Валиев, А В Мяконьких, А А Орликовский, К.В. Руденко, А А Рылов, ЯН Суханов, И А Тюрин, AB Фадеев, АЕ Юрков Разработка низкотемпературных плазмохимических процессов и серии плазменных установок для микро- и нанотехнологий // Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, т 19, с 121-137 А29 КВ. Руденко, AB Фадеев, А А Орликовский Малоракурсная 20-томография пространственных неоднородностей плазмы в технологических реакторах микроэлектроники // Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, т 19, с 208-218 А30 A Miakonkikh, К. Rudenko Measurement of polymerizing fluorocarbon plasma parameters Dynamic Langmuir probe technique application // Proceedings of SPIE, 2006, v 6260, p 6260-0A A31 А А Орликовский, К.В Руденко, С H Аверкин Прецизионные плазмохимические процессы микроэлектроники на базе серии пилотных установок с масштабируемым ICP-источником плазмы // Химия высоких энергий, 2006, т 40, № 3, с 220-232 A3 2 КВ. Руденко, Я H Суханов, А А Орликовский Диагностика in situ и управление плазменными процессами в микроэлектронной технологии // Раздел V, Гл 1, в кн «Энциклопедия низкотемпературной плазмы», / Под ред Ю А Лебедева, H А Платэ, В Е Фортова M , Изд «Янус-К», 2006, т XII-5, с 381 -436

АЗЗ К.В Руденко, AB Мяконьких, А А Орликовский, АН Пустовит Зондовые измерения параметров плазмы в технологических HDP-реакторах микроэлектроники в условиях осаждения диэлектрических пленок // Микроэлектроника, 2007, т 36, № 1, с 17 - 30 А34 К.В. Руденко, А В Мяконьких, А А Орликовский Мониторинг плазмо-химического травления структур poly-Si/Si02/Si зонд Ленгмюра и оптическая эмиссионная спектроскопия // Микроэлектроника, 2007, т 36, № 3, с 206 - 221

ЦИТИРУЕМАЯ ЛИТЕРАТУРА

1 KA Валиев, А А Орликовский Новое поколение элементной базы микроэлектроники кремниевый нанотранзистор сохраняет свои позиции // Электроника наука, технология, бизнес 2000, №3, с 46-49

2 А А Орликовский Кремниевая транзисторная наноэлектроника // Изв ВУЗов Электроника, 2006, № 5, с 35-44

3 International Technology Roadmap for Semiconductors 1999,2001,2005 Edition

4 Энциклопедия низкотемпературной плазмы Вводный том, кн II Раздел IV. Генерация плазмы и газовые разряды / Под ред А Ф Александрова и Ю П Райзера Изд "Наука", 2000

5 Энциклопедия низкотемпературной плазмы Вводный том, кн III Раздел VTII Химия низкотемпературной плазмы / Под ред Ю А Лебедева Изд "Наука", 2000

6 В Н Очкин Спектроскопия низкотемпературной плазмы //М, Физматлит, 2006, 471

с

7 G Hermen Image reconstructions from projections The fundamentals of computerized tomography //Ac Press, New York, 1980, 352 p

8 Пикалов В В , Мельникова Т С Томография плазмы Новосибирск, Изд «Наука»,

1995, 221 с

Подписано к печати 23 10 07 Зак 124 Тираж 120 экз Отпечатано на ризографе в ОНТИ ГЕОХИ РАН

Оглавление автор диссертации — доктора физико-математических наук Руденко, Константин Васильевич

СПИСОК АББРЕВИАТУР

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

ГЛАВА 1.

ФИЗИЧЕСКИЕ ПРОБЛЕМЫ ДИАГНОСТИКИ И МОНИТОРИНГА ПЛАЗМЕННЫХ МЕТОДОВ ФОРМИРОВАНИЯ МИКРО- И НАНОСТРУКТУР (ВВЕДЕНИЕ)

1.1. Требования к плазменным технологиям при формировании субмикронных и наноразмерных кремниевых структур

1.2. Плазмохимические реакторы для микроэлектроники

1.3. Механизмы взаимодействия химически активной плазмы с поверхностью микроструктур

1.4. Физические принципы мониторинга плазменных микроэлектронных технологий

1.5. Постановка задач исследования (выводы по Главе 1)

ГЛАВА 2.

ИССЛЕДОВАНИЕ ПАРАМЕТРОВ ПЛОТНОЙ ПЛАЗМЫ В ШИРОКОАПЕРТУРНЫХ РЕАКТОРАХ МИКРОЭЛЕКТРОНИКИ НИЗКОГО ДАВЛЕНИЯ И ИХ ОПТИМИЗАЦИЯ ДЛЯ ТЕХНОЛОГИЧЕСКИХ ЦЕЛЕЙ

2.1. Проблемы измерений параметров плазмы электроотрицательных газов Ленгмюровским зондом в ВЧ- и СВЧ- реакторах

2.2. Сравнительные характеристики плотной плазмы реакторов низкого давления на базе СВЧ ЭЦР разряда и ВЧ пленарного индуктивного разряда

2.3. Оптимизация латеральной однородности плазмы в новых широкоапертурных реакторах с источниками плотной плазмы (ИПП)

2.4. Выводы по Главе

ГЛАВА 3.

ЭМИССИОННАЯ АКТИНОМЕТРИЯ АКТИВНЫХ РАДИКАЛОВ ПЛАЗМЫ КАК МЕТОД ХАРАКТЕРИЗАЦИИ ПЛАЗМОХИМИЧЕСКИХ РЕАКТОРОВ ДЛЯ МИКРО- И НАНОЭЛЕКТРОНИКИ

3.1. Анализ условий достоверности эмиссионной актинометрии в реакторах с источниками плотной плазмы низкого давления

3.2. Исследование эффективности генерации химически активных радикалов в плотной плазме фторсодержащих газов эмиссионной актинометрией

3.3. Актинометрическое измерение газовой температуры нейтралов в плазмохимических реакторах спектроскопией умеренного разрешения

3.4. Выводы по Главе

ГЛАВА 4.

РАЗРАБОТКА НОВЫХ МЕТОДОВ ЗОНДОВОЙ ДИАГНОСТИКИ ПЛАЗМЫ ДЛЯ

МОНИТОРИНГА ПЛАЗМЕННОГО ТРАВЛЕНИЯ МИКРОСТРУКТУР

4.1. Разработка метода динамического Легмюровского зонда для измерений в пленкообразующей плазме низкого давления

4.2. Исследование влияния термоэмиссионных эффектов при зондовых измерениях в плотной химически активной плазме низкого давления

4.3. Зондовый мониторинг плазмохимического травления многослойных микроэлектронных структур

4.4. Выводы по Главе

ГЛАВА 5.

СПЕКТРАЛЬНАЯ ДИАГНОСТИКА ПРОЦЕССОВ ПЛАЗМЕННОГО ТРАВЛЕНИЯ

И ОСАЖДЕНИЯ ПРИ ФОРМИРОВАНИИ ИС

5.1. Исследование in situ скорости плазмохимического травления слоев и селективности процесса на структурах кремний (поликремний) - Si02 актинометрическими методами

5.2. Улучшение чувствительности определения момента окончания процесса при малой площади окон травления применением синхронного спектрального детектирования

5.3. Анализ однородности скорости травления слоя по площади пластины по данным оптической эмиссионной актинометрии

5.4. Мониторинг плазмохимического осаждения тонких и ультратонких диэлектрических пленок спектральной эллипсометрией in situ

5.5. Выводы по Главе

ГЛАВА 6.

ТОМОГРАФИЧЕСКОЕ ИССЛЕДОВАНИЕ ПРОСТРАНСТВЕННОЙ

ОДНОРОДНОСТИ ПЛАЗМЫ В УСЛОВИЯХ ПРЕДЕЛЬНО МАЛОГО ЧИСЛА

РАКУРСОВ

6.1. Задачи эмиссионной диагностики плазмы с пространственным разрешением в технологических реакторах

6.2. Разработка алгоритмов томографической реконструкции спектральных данных в двухракурсной схеме сканирования

6.3. Экспериментальное исследование латеральной однородности плазмы технологических реакторов спектрально разрешенной эмиссионной томографией

6.4. Выводы по Главе 6 251 ЗАКЛЮЧЕНИЕ (Основные выводы) 252 ПУБЛИКАЦИИ АВТОРА ПО ТЕМЕ ДИССЕРТАЦИИ 255 СПИСОК ЦИТИРУЕМОЙ ЛИТЕРАТУРЫ 258 ПРИЛОЖЕНИЕ П1. Автоматизированный объединенный комплекс зондовой диагностики и быстрой эмиссионной спектроскопии плазмы 271 ПРИЛОЖЕНИЕ П2. Автоматизированный спектральный синхронный end-point детектор для процессов плазмохимического травления при малой площади окон 274 ПРИЛОЖЕНИЕ ПЗ. Комплекс оптической эмиссионной томографии плазмы, адаптированный к плазменным реакторам микроэлектроники 276 ПРИЛОЖЕНИЕ П4. Листинг программы реконструкции 2Б-распределения компонентов плазмы по данным двухракурсного эмиссионного томографа (язык программирования MathCAD)

СПИСОК АББРЕВИАТУР

В АХ - вольт-амперная характеристика

ВЧЕ - высокочастотная плазма с емкостной связью (источник), эквивалент сокращения

ССР - capacitevly coupled plasma ВЧИ - высокочастотная индуктивно-связанная плазма (источник), эквивалент сокращения ICP - inductively coupled plasma ИПП - источник плотной плазмы (эквивалент аббревиатуры HDP - high density plasma) ИС - интегральная схема

КМОП - комплиментарная структура металл - оксид - полупроводник

ЛИФ - лазерно-индуцированая флюоресценция

МДП - структура металл - диэлектрик - полупроводник

НЧ, ВЧ, СВЧ - низкочастотный, высокочастотный и сверхвысокочастотный микроволновый) диапазон радиоволн OA - оптическая актинометрия ОПЗ - область пространственного заряда ПИ3 - плазменно-иммерсионная ионная имплантация ПХТ - плазмохимическое травление РИТ - реактивное ионное травление СПЭ - спектральная эллипсометрия УБИС - ультрабольшая интегральная схема ФРЭЭ - функция распределения электронов по энергиям ЭОС - эмиссионная оптическая спектроскопия ЭМ - электромагнитное (поле) ЭТ - эмиссионная томография ЭЦР - электронно-циклотронный резонанс

ITRS - International Technology Roadmap for Semiconductors

EPD - end-point detection (определение момента окончания процесса)

CVD - chemical vapor deposition

PECVD - plasma enhanced chemical vapor deposition (плазмостимулированное осаждение пленок)

PEALD - plasma enhanced atomic layer deposition (плазмостимулированное атомное (монослойное) осаждение пленок)

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Введение 2007 год, диссертация по электронике, Руденко, Константин Васильевич

Теоретические и экспериментальные исследования показали, что кремниевые МДП-транзисторы сохраняют свойства, необходимые для применения в КМОП - интегральных схемах (ИС), вплоть до значений длин канала 6-10 нм [1, 2]. В 1999 году был преодолен рубеж топологических норм 100 нм. Произошла естественная трансформация микроэлектроники в наноэлектронику, требующую применения прецизионных технологий.

Известно [3], что минимальный размер (MP), обеспечиваемый литографией, превышает планируемую длину затвора транзистора почти вдвое (например, для MP 45 нм длина затвора составляет 20 нм). Создание интегральных схем на нанотранзисторах со столь малыми длинами каналов ужесточает требования не только к литографическим процессам, но и в значительной степени к процессам структурирования ультратонких пленок - анизотропному травлению наноструктур и конформному осаждению диэлектрических и проводящих слоев.

Плазменные технологии, объем которых при изготовлении ИС высокой степени интеграции достигает 50% от числа используемых технологических операций, не имеют альтернатив в рамках существующих тенденций. Процессы обработки кремниевых микроструктур в низкотемпературной химически активной плазме обеспечивают значительное снижение рабочих температур подложек при высоких скоростях травления / осаждения / модификации ультратонких пленок. Структурирование пленок плазменными методами удовлетворяет жестким требованием к анизотропии и селективности процессов. Плазменные микротехнологии внедрены или разрабатываются для:

- травления полупроводниковых, металлических и диэлектрических слоев;

- осаждения изолирующих диэлектрических слоев;

- осаждения тонкого подзатворного диэлектрика, и/или тонкого окисления поверхности кремния;

- осаждения полупроводниковых слоев (например, поликремния);

- конформного заполнения субмикронных канавок (тренчей) с последующей частичной планаризацией рельефа;

- заполнения тренчей для боковой диэлектрической изоляции транзисторов;

- очистки поверхности пластин от органических загрязнений;

- очистки поверхности от загрязнений атомами металлов; о

- плазменно-иммерсионной имплантации ионов (ПИ ) примеси с целью создания мелко залегающих р-n переходов суб-100 нм диапазона; о

- высоковольтной ПИ кислорода или гелия для создания структур «кремний на изоляторе» с рекордно высокой скоростью набора необходимой дозы.

В ходе развития технологий микроэлектроники произошла смена, как минимум, трех поколений плазмохимических реакторов, использующих различные типы газового разряда для получения низкотемпературной химически активной плазмы. В настоящее время активно развиваются широкоапертурные реакторы с источниками плотной плазмы (ИПП) низкого давления (high density plasma, HDP в англоязычной литературе), с независимым управлением плотностью ионов в плазме и их энергией в зоне обработки микроструктур. Рабочие давления р = 0.1 - 50 мТорр в таких реакторах позволяют достичь высокой степени анизотропии процессов травления при переносе рисунка маски, а применяемые типы ВЧ- и СВЧ-разрядов в этом диапазоне давлений обеспечивают более эффективную генерацию плазмы, с большей плотностью ионов и химически активных частиц - радикалов (ХАЧ), чем в ранее используемых реакторах при р = 100 - 500 мТорр. Соответственно возрастает скорость процессов травления структур и осаждения пленок при формировании ИС. Важное свойство ИПП-реакторов - возможность оптимизации под заданную технологию. Прогресс в этом направлении неразрывно связан с фундаментальными исследованиями в области физики низкотемпературной плазмы и газовых разрядов [4], плазмохимии [5], выполненных коллективами ведущих научных школ. Значительный вклад в эту область внесли выдающиеся российские ученые В.Е. Фортов, А.Ф. Александров, Ю.П. Райзер, J1.C. Полак, Ю.А. Лебедев, Д.И. Словецкий.

Интегральные схемы наноэлектроники, содержащие слои, толщина которых порой соизмерима с постоянной кристаллической решетки, требуют контроля in situ процессов их изготовления. Разработка и применение таких методов призваны обеспечить прецизионное травление структур и точный перенос суб-100 нм рисунка маски, контролируемое осаждение тонких пленок в диапазоне толщин от нескольких монослоев до долей микрона, стабильность технологии. Для воспроизводимого формирования наноструктур плазменными технологиями уже недостаточно стабилизации внешних параметров процессов.

Решение этой проблемы возможно при использовании встроенных средств контроля, использующих физические явления или эффекты, которые не вносят искажения в потоки частиц из плазмы на подложку. Методы диагностики плазменных процессов должны быть невозмущающими, по отношению к технологии, и обладать достаточной чувствительностью. Особенно жесткими являются требования к чувствительности методов контроля in situ при травлении контактных отверстий в диэлектриках систем многослойной металлизации УБИС, где общая площадь окон травления часто не превосходит 1% площади пластины.

Предпочтительны средства мониторинга, для реализации которых не требуется создания специальных тестовых структур на пластинах. Кроме этого, средства мониторинга должны обеспечивать возможность как автоматической остановки процесса, так и (в перспективе) управления его параметрами, например, скоростью травления или осаждения. В последнем случае in situ детекторы должны включаться в цепи обратной связи управления технологической установкой.

Не менее важным является использование методов диагностики и на этапе разработки плазменных технологических процессов (process design). Так, формирование субмикронных и наноразмерных структур ИС с высокими аспектными отношениями требует применения сильно ионизованной плазмы низкого давления, и, в то же время, вводит ограничения на температуру электронов плазмы для снижения дефектов (формы и электрических), вызванных зарядкой диэлектриков в МОП-структурах в процессе обработки. Диагностика технологической плазмы в условиях реактора позволяет проводить целенаправленную оптимизацию параметров процесса, достигая приемлемого компромисса и существенно сокращая сроки внедрения новых технологий.

Актуальность экспериментальных исследований закономерностей, обусловленных взаимодействием низкотемпературной химически активной плазмы с поверхностью микроструктур на основе кремния, и создания на их основе методов диагностики in situ технологических процессов, является очевидной. Цель диссертационной работы

Целью настоящего исследования является разработка физических принципов невозмущающих методов контроля in situ плазмостимулированных технологических процессов формирования микро- и наноразмерных структур на основе комплексного исследования плазмы в современных И1 Ill-реакторах для микроэлектронных применений. В ходе работы было необходимо решить следующие задачи:

• развить экспериментальные зондовые методы исследования химически активной плазмы электроотрицательных газов, в том числе для условий возможного осаждения на зонд диэлектрических пленок, для характеризации ИПП-реакторов и для мониторинга плазмохимического травления микроструктур;

• разработать комплекс спектральных методов, обеспечивающих дизайн (process design) и мониторинг плазменных процессов травления микроэлектронных структур;

• исследовать возможности метода оптической эмиссионной актинометрии плазмы для определения параметров процессов плазмохимического травления в реальном времени;

• разработать методы контроля процессов плазмохимического осаждения пленок тонких диэлектриков с использованием спектральной эллипсометрии in situ;

• развить метод эмиссионной оптической томографии плазмы для условий предельно малого числа ракурсов, доступных в технологических реакторах, для реконструкции 2D-распределения химически активных радикалов в зоне обработки пластины.

Научная новизна и достоверность полученных результатов

Научная новизна выполненной работы заключается в следующем:

• Разработан новый комплексный подход к диагностике плазменных технологических процессов в микроэлектронике на этапах конструирования плазменного реактора, дизайна плазменной технологии, мониторинга технологического процесса in situ и для текущего контроля состояния камеры реактора. Разработанные методы обеспечивают возможность включения средств диагностики в системы автоматизированного управления процессами.

• Разработан новый метод динамического зонда Ленгмюра для измерения параметров химически активной пленкообразующей плазмы низкого давления в технологических ИПП-реакторах микроэлектроники. Впервые предложены способы определения момента окончания процесса травления микроструктур на основе зондового мониторинга параметров плазмы in situ.

• Исследованы параметры реагирующей плазмы ВЧ и СВЧ разрядов в газах BF3, SF6, CHF3, О2, Н2, Аг. Параметры плазмы BF3 исследованы впервые. Проведены сравнительные зондовые исследования плазмы с источниками на основе ВЧ- и СВЧ-разряда в единой геометрии камеры реактора, в результате которых осуществлен целенаправленный выбор типа реактора, адекватного требованиям технологии.

Доказана необходимость характеризации реакторов для микроэлектроники в плазме, непосредственно использующейся в технологическом процессе.

• Показано, что метод эмиссионной актинометрии химически активных радикалов плазмы позволяет оценить эффективность ИПП-реакторов для плазмохимических технологий микроэлектроники с различными типами и условиями разрядов.

• Разработаны физические основы актинометрического мониторинга процессов плазменного травления, установлены количественные соотношения между плотностью атомарного фтора в плазме и скоростями анизотропного травления слоев poly-Si, SiC>2. Впервые показано, что на основе данных актинометрических измерений in situ при плазменном травлении структур poly-Si/Si02/Si можно в режиме реального времени управлять селективностью травления и контролировать однородность процесса по площади пластины.

• Предложена математическая модель, количественно связывающая динамику сигнала актинометрического мониторинга в момент окончания плазмохимического травления одного из слоев многослойной структуры и однородностью скорости его травления по площади пластины.

• Предложен новый высокочувствительный способ эмиссионного контроля момента окончания травления микроструктур при малой площади окон травления, основанный на выделении полезного сигнала момента окончания процесса из шумов эмиссии плазмы фазовым детектированием сигнала интенсивности спектральной линии ХАЧ плазмы на собственной частоте плазмообразущего генератора либо на частоте его модуляции.

• Развит метод эмиссионной томографии плазмы для предельно малого числа ракурсов и предложен алгоритм томографической реконструкции для измерения двумерного распределения химически активных радикалов в плазме. Разработанный метод совместим с плазмохимическими реакторами микроэлектроники.

Достоверность результатов исследований обеспечивается применением в работе фундаментальных физических теорий, использованием современных методов исследования, верификацией экспериментальных результатов независимыми методиками, соответствием экспериментальных данных построенным моделям.

Положения, выносимые на защиту

• Установлено, что СВЧ ЭЦР-реактор и ВЧ реактор с планарным индуктором (ВЧИ-реактор) генерируют сильно ионизованную (степень ионизации до КГ1) плотную (п;~ 1012 см"3) плазму в диапазоне давлений 1-20 мТорр, существенно отличающуюся типом функций распределения электронов по энергиям (ФРЭЭ): в ЭЦР-реакторе -бимаксвелловская ФРЭЭ, в ВЧИ-реакторе - близкая к распределению Максвелла. Конкретный вид ФРЭЭ определяется как типом разряда, так и типом плазмообразующего газа, а также конкретными условиями разряда. Плазма молекулярных фторсодержащих газов обладает более высокой электронной температурой (Те ец - 3.6-6.7 эВ), чем плазма аргона (Teeff = 2.6-4.8 эВ) в идентичных условиях разряда. Молекулярная плазма в ИПП-реакторах имеет повышенную газовую температуру: 600-И 300 К. Адекватный выбор типа И1 ill-реактора и режимов разряда способен повысить точность переноса топологии маски, минимизировать эффекты зарядки субмикронных и наноразмерных структур.

• Предложенный метод динамического зонда Ленгмюра обеспечивает корректные измерения параметров полимерообразующей плазмы низкого давления. Чередование режима ионной чистки и режима измерения точки ВАХ в микросекундном диапазоне длительностей импульсов зондового потенциала дает возможность предотвратить осаждение диэлектрических пленок на зонд в момент измерения, с одной стороны, и измерять ток в квазистационарном состоянии призондового слоя - с другой. В этом случае применимы известные теории сбора ионного тока зондом.

• Мониторинг параметров плазмы методом зонда Ленгмюра в процессах плазмохимического травления эффективен для определения момента окончания травления слоев микроструктур в ИПП-реакторах. Критерием выбора конкретного параметра для зондового мониторинга (ионная или электронная плотность, эффективная электронная температура, потенциал плазмы или плавающий потенциал) является значение относительного изменения этого параметра при достижении нижней границы удаляемого слоя и максимальное отношение сигнал/шум.

• Показано, что спектральный мониторинг объемной плотности химически активного атомарного фтора в плазме при плазмохимическом травлении микроэлектронных структур in situ позволяет определить: (i) скорости травления слоев, (и) селективность травления и (iii) момент окончания процесса. Анализ ex situ актинометрической кривой мониторинга дает количественную оценку неоднородности скорости травления по площади пластины.

• Эмиссионная 2Б-томография плазмы ИПП-реакторов может быть реализована с использованием двух ракурсов и веерного сканирования датчиков. При этом в алгоритм реконструкции вводится априорная информация о функциональном виде (профиле) «элементарной» плазменной неоднородности, позволяющая значительно уменьшить артефакты реконструкции. Общее поле реконструкции представляется суперпозицией невзаимодействующих «элементарных» неоднородностей. Практическая значимость работы

Предложенные диагностические методики воплощены в экспериментальных образцах автоматизированных компьютерных комплексов, предназначенных для контроля плазменных процессов в реакторах микроэлектроники. Их применение в цепях обратной связи систем управления современными плазменными установками для микро- и наноэлектронных применений открывает новые возможности плазменных технологий. Проведенные исследования привели к созданию диагностических систем в виде:

- автоматизированного объединенного комплекса зондовой диагностики и быстрой эмиссионной спектроскопии плазмы (Приложение П1);

- автоматизированного спектрального синхронного end-point детектора для процессов плазмохимического травления при малой площади окон (Приложение П2);

- комплекса оптической эмиссионной томографии плазмы, адаптированного к конструкциям плазменных реакторов микроэлектроники (Приложение ПЗ).

Они были испытаны в реальных технологических процессах на прототипах современных плазмохимических установок на базе ИПП-реакторов. Способы и устройства мониторинга процессов плазмохимического травления микроэлектронных структур (технические решения) защищены двумя патентами Российской Федерации, которые базируются на результатах данной диссертационной работы.

Публикации

Содержание диссертации опубликовано в 34 работах, включая главу в книге «Энциклопедия низкотемпературной плазмы», т. XII-5, статьи в отечественных и зарубежных научных журналах, труды российских и международных конференций и симпозиумов, а также два патента РФ на изобретения. Библиографический список публикаций автора приведен в конце диссертации.

Личный вклад автора

В исследование, выполненное в рамках настоящей диссертационной работы, автором внесен определяющий вклад в части постановки задач и выбора направлений деятельности, разработке методов диагностики и анализа полученных экспериментальных результатов. Автором лично предложены и поставлены все эксперименты по диагностике плазменных технологий. Его идеи легли в основу разработанных алгоритмов малоракурсной эмиссионной томографии плазмы в плазмохимических реакторах микроэлектроники. Непосредственное участие коллег автора диссертации в исследованиях отражено в виде их соавторства в опубликованных работах (см. список публикаций автора). Результаты, составляющие научную новизну диссертационной работы и выносимые на защиту, получены автором лично.

Структура диссертации

Диссертация включает общую характеристику работы, и состоит из 6 глав, заключения, и приложений. Каждая из глав имеет раздел «Выводы», в котором суммируются полученные в ней результаты. Все основные полученные результаты и выводы по работе приведены в разделе «Заключение».

Заключение диссертация на тему "Диагностика плазменных технологических процессов микро- и наноэлектроники"

6.4. Выводы по Главе 6

1. Развиты алгоритмы малоракурсной эмиссионной томографии плазмы, которые основываются на методах классической реконструктивной томографии. Введение в алгоритмы аксиоматических предположений о физических свойствах реконструируемых неоднородностей позволило избавиться от артефактов и повысить точность восстановления поля интенсивности (плотности) в условиях предельно малого числа ракурсов. Впервые получены удовлетворительные результаты 2D-реконструкции асимметричных томографических фантомов с использованием минимумального числа ракурсов (двух) в веерной геометрии сканирования.

2. Алгоритмы двухракурсной томографии плазмы проверены экспериментально в широкоапертурном плазменно-иммерсионном имплантере на плазме BF3. Экспериментальным эмиссионным томографом уверенно обнаруживались искусственно созданные плазменные неоднородности и наблюдалась эволюция латерального распределения как ионов, так и нейтральных химически активных радикалов в зависимости от условий разряда в камере реактора.

ЗАКЛЮЧЕНИЕ

Ниже приводится формулировка основных выводов по диссертации в целом.

1. Исследована плазма низкого давления (1-20 мТорр) ИПП (HDP) реакторов, предназначенных для микроэлектронных технологий. Характеризация реакторов проведена как на плазме аргона, так и на химически активной плазме, непосредственно применяющейся в плазменных технологических процессах. Установлены отличия ФРЭЭ плазмы в реакторах с СВЧ ЭЦР источником и планарным ВЧИ - разрядом, ориентирующие их для применения в различных технологических процессах. Изучены параметры сильно ионизованной (nt ~ 10п-1012 см"3) плазмы молекулярных газов Н2, CHF3, SF6, BF3 . Параметры плазмы BF3 в И1 ill-реакторах исследованы впервые. Плазма фторсодержащих газов в HDP-реакторах имеет повышенную газовую температуру до 1300 К. Ее значение необходимо учитывать при разработке технологий анизотропного плазменного травления для структур с суб-100 нм топологическими нормами.

Проведенные исследования показали, что характеризация реактора на технологической плазме способна повысить точность переноса топологии маски, производительность процесса, минимизировать эффекты зарядки микроструктур.

2. Впервые предложен метод динамического Ленгмюровского зонда для измерений параметров сильно ионизованной низкотемпературной плазмы химически активных пленкообразующих газов. Предотвращение загрязнения поверхности зонда диэлектрическими пленками достигается динамическим режимом измерения ВАХ по сканирующей циклограмме: режим ионной очистки поверхности зонда - режим измерения данных в точке ВАХ. Скорость импульсного переключения зондового потенциала в режиме измерения ВАХ ограничивается сверху - временем установления стационарного призондового слоя ОПЗ, а снизу - кинетикой осаждения непроводящих (полимерных) пленок на поверхность зонда. Метод опробован в измерениях параметров полимерообразующей плазмы CHF3.

3. Впервые показано, что мониторинг параметров плазмы методом зонда Ленгмюра в ходе технологического процесса эффективен для определения момента окончания плазмохимического травления структур кремний (поликремний) / диэлектрик. Критерием

252 выбора конкретного параметра для зондового мониторинга (ионная, электронная плотность, эффективная электронная температура, потенциал плазмы или плавающий потенциал) является значение его относительного изменения при достижении границы удаляемого слоя.

4. Установлено, что введение электроположительных газов-актинометров (Аг, N2) в количестве 1 - 7% в плазму электроотрицательных газов SF6, BF3, CHF3 не ведет к заметному изменению электронной температуры, ионной и электронной плотности. Следствием является возможность корректного применения метода оптической эмиссионной актинометрии для измерения в плазме плотности химически активных радикалов, спектроскопического измерения газовой температуры технологической плазмы и оценки температуры ионов.

5. Посредством мониторинга объемной плотности химически активного атомарного фтора в плазме при плазмохимическом травлении микроэлектронных структур in situ определены: (i) скорости травления слоев, (и) селективность травления и (iii) момент окончания процесса. Анализ ex situ актинометрической кривой мониторинга дает количественную оценку неоднородности скорости травления по площади пластины.

6. Создан алгоритм реконструкции латеральной неоднородности плазмы в реакторах микроэлектроники методами оптической эмиссионной томографии в условиях минимального числа ракурсов. Классические алгоритмы 2Б-томографии дополнены априорной информацией о виде функций, описывающих пространственный профиль единичных плазменных неоднородностей. Задача сводится к отысканию в реконструируемой области пространства суперпозиции параметризуемых «элементарных» неоднородностей. Предложенный алгоритм опробован в экспериментальных исследованиях латеральной неоднородности 2Б-распределения атомарного фтора и ионов В+ в плазме BF3 на опытном эмиссионном томографе плазмы, совместимом с технологическими реакторами микроэлектроники.

В заключение хочу выразить признательность научным консультантам диссертационной работы — академику РАН Александру Александровичу Орликовскому, — за предложенное направление исследований, актуальность которого непрерывно возрастает по мере трансформации микроэлектроники в наноэлектронику, и за поддержку на протяжении всех лет моей работы в Физико-технологическом институте РАН; доктору физико-математических наук Владимиру Федоровичу Лукичеву, - за плодотворное обсуждение результатов работы в части предложенной модели диагностики неоднородного плазмохимического травления и томографических алгоритмов.

Считаю своим долгом поблагодарить также Научного руководителя института, академика РАН Камиля Ахметовича Валиева за творческую атмосферу, созданную во ФТИАНе, и способствующую развитию всех аспектов такой многогранной области науки, как микроэлектроника.

Очень важна была помощь коллег из Лаборатории микроструктурирования и субмикронных приборов ФТИАН в проведении экспериментальных работ, особенно руководителя конструкторской группы плазмохимического оборудования Сергея Николаевича Аверкина. Многие сотрудники Лаборатории являются соавторами моих научных публикаций по теме диссертации.

ПУБЛИКАЦИИ АВТОРА ПО ТЕМЕ ДИССЕРТАЦИИ (в хронологическом порядке)

А1. А.П.Ершов, А.В.Калинин, Ю.С.Ключников, К.В. Руденко, А.А. Орликовский. Мониторинг параметров плазмы C2F6 в процессе травления Si02. // Тр. Всероссийской конф. «Микроэлектроника-94».,М., 1994, ч.1., с.291-292.

А2. А.П. Ершов, А.В. Калинин, Я.Н. Суханов, К.В. Руденко. Применение зонда Ленгмюра для определения момента окончания процесса травления Si02 на кремнии. // Вестник МГУ, сер. физ., астроном., 1995, т.36, вып.6, с. 18-22.

A3. Ю.П. Барышев, А.П. Ершов, А.В. Калинин, А.А. Орликовский, К.В. Руденко, В.Н. Семененко, Я.Н. Суханов, Н.И. Пискун. Мониторинг плазменного травления Si02/Si и момента окончания травления. // Микроэлектроника, 1996, т.25, № 5, с.373 -379.

А4. V.A. Yunkin, V.F. Lukichev, K.V. Rudenko, D. Fisher, E. Voges. Experimental study and computer simulation of aspect ratio dependent effects observed in silicon reactive ion etching. // Microelecronic Engineering, 1996, v.30, p.345-348.

A5. К.В. Руденко, А.А. Орликовский, G. Roeder. Актинометрический контроль плазменного травления структур nonH-Si/Si02/Si : возможности и ограничения метода. // Тр. Всероссийской конф. «Микро- и наноэлектроника -98», Звенигород, 1998, т. 1, с. 03-5.

А6. С.Н. Аверкин, К.А. Валиев, В.В. Кошкин, А.А. Орликовский, К.В. Руденко, Я.Н. Суханов. Микроволновый широкоапертурный плазменный источник для обработки 300-мм кремниевых пластин. // Микроэлектроника, 1999, т.28, № 6, с. 427.

А7. S. Averkin, A. Orlikovsky, К. Rudenko, Ya. Sukhanov, К. Valiev. Microwave Wide Aperture Plasma Source for 300-mm Wafer Processing. // Proceedings of FTIAN, 2000, v.16, pp.3-7.

A8. A.P. Ershov, A.V. Kalinin, A.A. Orlikovsky, K.V. Rudenko, Ya.N. Sukhanov. Time-Resolved 02 Plasma Parameters of Low Frequency Discharge in Diode Type Plasma Reactor. // Proceedings of FTIAN, 2000, v. 16, pp.25-31.

A9. K.V. Rudenko, A.A. Orlikovsky. G. Roeder. Monitoring of the Poly-Si/Si02/Si Plasma Etching by the Optical Emission Actinometry. // Proceedings of FTIAN, 2000, v. 16, pp.3237.

A10. К.В. Руденко. Метод оптической актинометрии в применении к химически активной низкотемпературной плазме. // В кн.: «Физические основы плазменных и лучевых технологий» / Под ред. А.Ф. Александрова, В.И. Петрова. М., МГУ, 2000, с. 57 - 64.

All. А.А. Орликовский, К.В. Руденко. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть I. // Микроэлектроника, 2001, т.30, № 2, с.85-105.

А12. А.А. Орликовский, К.В. Руденко, Я.Н. Суханов. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть II. // Микроэлектроника, 2001, т.30, № 3, с. 163-182.

А13. А.А. Орликовский, К.В. Руденко. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть III. //Микроэлектроника, 2001, т.30, № 5, с.323-344.

А14. А.А. Орликовский, К.В. Руденко, Я.Н. Суханов. Диагностика in situ плазменных технологических процессов микроэлектроники: современное состояние и ближайшие перспективы. Часть IV. // Микроэлектроника, 2001, т.30, № 6, с. 403-433.

А15. К.В. Руденко, А.А. Орликовский. Проблемы мониторинга плазменных технологических процессов: диагностика плазмы и поверхности. // Тр. Всероссийской конф. «Микро- и наноэлектроника 2001», Звенигород, 2001, т. 1, с 03-6.

А16. А.П.Ершов, В.Ф. Лукичев, К.В. Руденко, Я.Н.Суханов. Влияние электрического поля в плазме на электронную ветвь ВАХ Ленгмюровского зонда: моделирование методом Монте-Карло. // Тр. Всероссийской конф. «Микро- и наноэлектроника 2001», Звенигород, 2001, т. 2, с РЗ-27.

А17. С.Н. Аверкин, А.А. Орликовский, К.В. Руденко. Плазменно-иммерсионная ионная имплантация бора для создания ультрамелких р+-п переходов в кремнии. // Тр. 3-го Международного симпозиума по теоретической и прикладной плазмохимии. Плес, 2002, т. 2, с. 360-362.

А18. А.П. Ершов, А.А. Орликовский, К.В. Руденко, Я.Н. Суханов. Параметры плазмы BF3 в установке плазменно-иммерсионного имплантера. // Тр. 3-го Международного симпозиума по теоретической и прикладной плазмохимии. Плес, 2002 , т. 2, с. 426 -427.

А19. С.Н. Аверкин, А.П. Ершов, А.А. Орликовский, К.В. Руденко, Я.Н. Суханов. Зондовая диагностика плазмы ВЧ- и СВЧ- источников в иммерсионном ионном имплантере. // Тр. XXX Звенигородской конференции по физике плазмы и УТС. М., 2003, с. 192.

А20. К.В. Руденко, Я.Н. Суханов, Н.И. Базаев. Возможности синхронного детектирования эмиссионного сигнала плазмы при мониторинге травления структур Si02/Si. // Микроэлектроника, 2003, т.32, № 4, с.271-276.

А21. К.А. Валиев, А.А. Орликовский, К.В. Руденко, Ю.Ф. Семин, Я.Н.Суханов. Способ контроля момента окончания травления в плазме ВЧ- и СВЧ разряда в технологии изготовления полупроводниковых приборов и устройство для его осуществления. // Патент РФ № 2248645, МКИ H01L 21/66 от 12.02.2003. Опубл. Бюлл. ФИПС №8 20.03.2005.

А22. К.А. Валиев, А.А. Орликовский, К.В. Руденко, Ю.Ф. Семин, Я.Н. Суханов. Способ контроля плазмохимических процессов травления дифференциальной оптической актинометрией и устройство для его осуществления. // Патент РФ № 2248646, МКИ H01L 21/66 от 06.05.2003. Опубл. Бюлл. ФИПС №8 20.03.2005.

А23. K.V. Rudenko, A.V. Fadeev, А.А. Orlikovsky, К.А. Valiev. Tomographic reconstruction of space plasma inhomogeneities in wide aperture plasma technology equipment under strong restriction on the points of view. // Proceedings of SPIE, 2004, v. 5401, p.79-85.

A24. Ya.N. Sukhanov, A.P. Ershov, K.V. Rudenko, A.A. Orlikovsky. Comparative study of inductively coupled and microwave BF3 plasmas for microelectronic technology applications. //Proceedings of SPIE, 2004, v. 5401, p.55-63.

A25. K.V. Rudenko, A.V. Fadeev, A.A. Orlikovsky, K.A. Valiev. The study of radical density distribution in plasma etchers by emission tomography technique. // Proceedings of 16th International Vacuum Congress, Venice (Italy) 2004, Book 2, p. 896.

A26. К.В. Руденко. Анализ параметров плазмохимического травления кремниевых микроструктур по данным in situ оптической эмиссионной актинометрии. // Тр. IV Международного симпозиума по теоретической и прикладной плазмохимии (ISTAPC-2005). Иваново, 2005, т. 2, с. 521 - 524.

А27. Y.N. Sukhanov, A.P. Ershov, K.V. Rudenko, А.А. Orlikovsky. On the parameters of inductively coupled and microwave BF3 plasmas used for plasma immersion ion implantation. // Plasma Process. Polym., 2005, v.2, p.472-479.

A28. С.Н. Аверкин, К.А. Валиев, A.B. Мяконьких, А.А. Орликовский, К.В. Руденко, А.А. Рылов, Я.Н. Суханов, И.А. Тюрин, А.В. Фадеев, А.Е. Юрков. Разработка низкотемпературных плазмохимических процессов и серии плазменных установок для микро- и нанотехнологий. // Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, т. 19, с. 121-137.

А29. К.В. Руденко, А.В. Фадеев, А.А. Орликовский. Малоракурсная 2Б-томография пространственных неоднородностей плазмы в технологических реакторах микроэлектроники. // Труды ФТИАН «Квантовые компьютеры, микро- и наноэлектроника», 2005, т. 19, с. 208-218.

А30. A. Miakonkikh, К. Rudenko. Measurement of polymerizing fluorocarbon plasma parameters: Dynamic Langmuir probe technique application. // Proceedings of SPIE, 2006, v.6260, p. 6260-0A.

A31. А.А. Орликовский, К.В. Руденко, C.H. Аверкин. Прецизионные плазмохимические процессы микроэлектроники на базе серии пилотных установок с масштабируемым ICP-источником плазмы. // Химия высоких энергий, 2006, т. 40, № 3, с.220-232.

А32. К.В. Руденко, Я.Н. Суханов, А.А. Орликовский. Диагностика in situ и управление плазменными процессами в микроэлектронной технологии. // Раздел V, Гл. 1, в кн. «Энциклопедия низкотемпературной плазмы», / Под ред. Ю.А. Лебедева, Н.А. Платэ, В.Е. Фортова. М., Изд. «Янус-К», 2006, т. XII-5, с. 381 - 436.

АЗЗ. К.В. Руденко, А.В. Мяконьких, А.А. Орликовский, А.Н. Пустовит. Зондовые измерения параметров плазмы в технологических HDP-реакторах микроэлектроники в условиях осаждения диэлектрических пленок. // Микроэлектроника, 2007, т.36, № 1, с. 17-30.

А34. К.В. Руденко, А.В. Мяконьких, А.А. Орликовский. Мониторинг плазмо-химического травления структур poly-Si/Si02/Si : зонд Ленгмюра и оптическая эмиссионная спектроскопия. // Микроэлектроника, 2007, т.36, № 3, с. 206 - 221.

Библиография Руденко, Константин Васильевич, диссертация по теме Твердотельная электроника, радиоэлектронные компоненты, микро- и нано- электроника на квантовых эффектах

1. К.А. Валиев, А.А. Орликовский. Новое поколение элементной базы микроэлектроники: кремниевый нанотранзистор сохраняет свои позиции. // Электроника: наука, технология, бизнес. 2000, №3, с. 46-49.

2. А.А. Орликовский. Кремниевая транзисторная наноэлектроника. // Изв. ВУЗов. Электроника, 2006, № 5, с. 35 44.

3. International Technology Roadmap for Semiconductors. 1999, 2001, 2005 Edition.

4. Энциклопедия низкотемпературной плазмы. Вводный том, кн. II. Раздел IV. Генерацияплазмы и газовые разряды. / Под ред. А. Ф. Александрова и Ю. П. Райзера. Изд. "Наука", 2000.

5. Энциклопедия низкотемпературной плазмы. Вводный том, кн. III. Раздел VIII. Химия низкотемпературной плазмы. / Под ред. Ю. А. Лебедева. Изд. "Наука", 2000.

6. М.А. Lieberman, A.J. Lichtenberg. Principles of Plasma Discharges and Materials

7. Processing. // 2nd edition, N.-Y: Wiley-Interscience, 2005, 800 pp.

8. Технология СБИС: в 2-х книгах. / Под ред. С. Зи. М., Мир, 1986, 483 с.

9. Айнспрук Н., Браун Д. Плазменная технология в производстве СБИС. М.: Мир, 1987,469 с.

10. Н.С. Shin, С. Ни. Thin gate oxide damage due to plasma processing. //Semicond.Sci.Technol., 1996, v. 11, p.463-473.

11. S. J. Fonash. Plasma processing damage in etching and deposition. // IBM J. Research and

12. Development. 1999, v.43, no 1/2, p. 103-127.

13. K.P. Giapis, G.S.Hwang. Pattern-Dependent Charging and Role of Tunneling //Jpn.J.Appl.Phys., 1998, v.37, p.2281-2290.

14. K.P. Giapis, S.H. Gyeong. Mechanisms of Charging Reduction in Pulsed Plasma Etching. //

15. Jpn.J.Appl.Phys., 1998, v.37, p.2291-2301.

16. T. Brozek, C.R. Viswanathan. Increased hole trapping in gate oxides as latent damage fromplasma charging. // Semicond.Sci.Technol., 1997, v.12, p.1551-1558.

17. P. Shrinavasan, B. Vootukuru, D. Misra. Screening of Hot Electron Effect During Plasma

18. Processing.// Proc. 17th Intern.Conference on VLSI Design, 2004, p. 291-298.

19. T. Ohmi, M. Hirayama, A. Teramoto. New era of silicon technologies due to radical reactionbased semiconductor manufacturing. // J.Phys.D: Appl.Phys., 2006, v. 39, p. R1-R17.

20. En M. and Cheung N., Modelling of charging effects in plasma immersion ion implantation

21. Nucl. Instr. And Meth. In Phys. Res. 1995 Vol. В 96, P. 435-439.

22. International Symposium on Plasma and Process-Induced Damage: 1996-2001 Proceedings;2003,2004 Proceedings //Ed by IEEE Electron Device Society.

23. B.E. Голант, А.П. Жилинский, С.А.Сахаров. Основы физики плазмы. // М., Атомиздат, 1977, 384 стр.

24. Ю.П. Райзер. Физика газового разряда. 2-е изд., М., Наука-Физматлит, 1992, 537 pp.

25. Ю.П. Райзер, М.Н. Шнайдер, Н.А. Яценко. Высокочастотный емкостной разряд. // М.,

26. Наука-Физматлит, 1995, 310 стр.

27. Microwave Excited Plasmas. // Ed. by M. Moisan, J. Pelletier. Amsterdam, Elsevier, 1992,519pp.

28. Данилин Б.С., Сырчин B.K. Магнетронные распылительные системы. M.: «Радио и связь», 1982.

29. А.А. Орликовский. Плазменные процессы в микро- и наноэлектронике. Часть I. Реактивное ионное травление. // Микроэлектроника, 1999, т. 28, №5, с. 344-362.

30. А.А. Орликовский. Плазменные процессы в микро- и наноэлектронике. Часть II. Плазмохимические реакторы нового поколения и их применение в технологии микроэлектроники.//Микроэлектроника, 1999, т. 28, №6, с. 415-426.

31. Н. Conrads, М. Schmidt. Plasma generation and plasma sources. // Plasma Sources Sci.

32. Technol., 2000, v. 9, p. 441-454.

33. А.А. Орликовский. Плазма в субмикронной технологии микроэлектроники. В кн.: Энциклопедия низкотемпературной плазмы./ под редакцией В.Е. Фортова, М., «Наука», МАИК «Наука/Интерпериодика», 2000, вводный том IV, Раздел XI.5.2,.стр. 370-386.

34. Olthoff J.K., Greenberg К.Е. The Gaseous Electronics Conference RP Reference Cell An1.troduction.// J. Res. Nat. Inst. Standards & Technol., 1995, v.100, no.4, pp. 327-339.

35. Brake M.L., Pender J., Fournier J. The Gaseous Electronic Conference (GEC) reference cellas a benchmark for understanding microelectronics processing plasmas.// Phys. of Plasmas, 1999, v.6, no.5, pp. 2307-2313.

36. Райзер Ю.П. Безэлектродные разряды среднего давления в высокочастотных и частотно-импульсных полях. // Физика плазмы, 1979, т. 5, с. 408-416.

37. В.Ф. Лукичев. Теоретическое исследование глубокого анизотропного травления кремниевых структур в низкотемпературной плазме.// Диссертация на соискание ученой степени доктора физико-математических наук. М., 1997. 163 стр.

38. J. Hopwood, T.D. Mantei. Application-driven development of plasma source technology. //

39. J. Vac. Sci. Technol. A , 2003, v.21 no. 5, p.l 139-1144.

40. B.A. Довженко, А.П. Ершов, А.А. Кузовников. О правильном учете кулоновских столкновений при расчете коэффициентов переноса.// ЖТФ, 1980, т. 50, № 7 , с. 1532.

41. В.А. Годяк. Статистический нагрев электронов на осциллирующей границе плазмы.//

42. ЖТФ, 1971, т. XLI, №7, стр. 1364-1368.

43. М.М. Turner. Collisionless electron heating in an inductively coupled discharge. // Phys.

44. Rev. Lett., 1993, v. 71, no. 12, p. 1844-1847.

45. Александров А.Ф., Богданкевич Л.С., Рухадзе А.А. Основы электродинамики плазмы. //М: Высшая школа, 1988, 408 с.

46. Godyak V.A., Piejak R.B., Alexandrovich В.М. Probe diagnostics of non-Maxwellian plasmas. // J. Appl. Phys., 1993, v.73, p. 3657-3663.

47. K.B. Вавилин, А.А. Рухадзе, M.X. Ри, В.Ю. Плаксин. Радиочастотные источники плазмы малой мощности для технологических приложений. I. Источники плазмы в отсутствие магнитного поля.// ЖТФ, 2004, т. 74, № 5, с. 44-49.

48. К.В. Вавилин, В.Ю. Плаксин, М.Х. Ри, А.А. Рухадзе. Радиочастотные источники плазмы малой мощности для технологических приложений. II. Источники плазмы в условиях аномального скин-эффекта.// ЖТФ, 2004, т. 74, № 6, с 25-28.

49. К.В. Вавилин, А.А. Рухадзе, М.Х. Ри, В.Ю. Плаксин. Радиочастотные источники плазмы малой мощности для технологических приложений. III. Геликонные источники плазмы. // ЖТФ, 2004, т. 74, № 6, с 29 34.

50. Godyak V.A., Piejak R.B., Alexandrovich В.М. Electrical characteristics and electron heating mechanism of an inductively coupled argon discharge. // Plasma Sources Sci. Technol., 1994, v.3, no. 2, p.169-176.

51. Godyak V.A., Piejak R.B. Electromagnetic field structure in a weakly collisional inductivelycoupled plasma. // J. Appl. Phys., 1997, v.82, p. 5944-5947.

52. Godyak V.A., Piejak R.B., Alexandrovich B.M. Experimental setup and electrical characteristics of an inductively coupled plasma. // J. Appl. Phys., 1999, v.85, p. 703-712.

53. Godyak V.A., Piejak R.B., Alexandrovich B.M. Electron energy distribution function measurements and plasma parameters in inductively coupled argon plasma. // Plasma Sources Sci. Technol., 2002, v.l 1, p.525-543.

54. Godyak V. A., Kolobov V. I. Negative Power Absorption in Inductively Coupled Plasma //

55. Phys. Rev. Lett., 1997, v. 79, no. 23, p. 4589-4592.

56. Godyak V. A., Piejak R. В., Alexandrovich В. M., Kolobov V. I. Experimental Evidence of

57. Collisionless Power Absorption in Inductively Coupled Plasmas. // Phys. Rev. Lett. 1998, v. 80, no. 15, p. 3264-3267.

58. Godyak V. A., Piejak R. В., Alexandrovich В. M. Observation of Second Harmonic Currentsin Inductively Coupled Plasmas. //Phys. Rev. Lett, 1999, v. 83, no. 8, p. 1610-1612.

59. Godyak V. A., Piejak R. В., Alexandrovich В. M., Kolobov V. I. Hot plasma and nonlineareffects in inductive discharges. //Phys. of Plasmas, 1999, v. 6, no. 5, p. 1804-1812.

60. Chen F.F., Boswell R.W. Helicons-the past decade. // IEEE Trans. Plasma Sci., 1997, v.25,no.6, p. 1245-1257.

61. V. M. Shibkov, V.A. Chernikov, S.A. Dvinin, A.P. Ershov, A.A. Karachev, L.V. Shibkova,

62. O.S. Surkont, A.V. Voskanyan. Microwave discharge on external surface of quartz plate.// Proc. of SPIE, 2004, v. 5401, p. 47-55.

63. Экштайн В. Компьютерное моделирование взаимодействия частиц с поверхностьютвердого тела. // М, Мир, 1995, 319 с.

64. Coburn J.W., Winters H.F. Plasma etching—A discussion of mechanisms. // J.Vac.Sci.

65. Technol., 1979, v.16, no. 2, p.391 403.

66. Meeks E.R., Larson S., Ho P., Apblett C., Han S.M., Edelberg E., Aydil E.S. Modeling of

67. Si02 deposition in high density plasma reactors and comparisons of model predictions with experimental measurements. // J.Vac.Sci. Technol. A, 1998, v. 16, no. 2, p.544-563.

68. Stout P.J., Kushner M.J. Monte Carlo simulation of surface kinetics during plasma enhancedchemical vapor deposition of Si02 using oxygen/tetraethoxysilane chemistry. // J.Vac.Sci. Technol. A, 1993, v.l 1, no. 5, p.2562-2571.

69. Hodson Ch. Plasma ALD Atomic Layer Deposition. // Workshop on Silicon dry processing for Nanoelectronics and Micromechanics. Aahen, (Germany), 13-14 Sept. 2006.

70. Handbook of Plasma Immersion Ion Implantation and Deposition. // Ed. by A. Anders. N.

71. Y., John Wiley & Sons, 2000, 736 pp.

72. X. Риссел, Б. Руге. Ионная имплантация. М., «Мир», 1978.

73. Cheung N.W. Plasma immersion ion implantation for semiconductor processing. // Mat.

74. Chem. and Phys., 1996, v. 46, no. 2, pp. 132-139.

75. Giapis K.P. and Hwang G.S. Plasma interactions with high aspect ratio patterned surfaces:ion transport, scattering, and the role of charging. // Thin Solid Films 2000 Vol. 374 P. 175-180.

76. Rangelov I.W. Critical tasks in high aspect ratio silicon dry etching for MEMS. // Materialsof Workshop on Silicon dry processing for Nanoelectronics and Micromechanics. Aahen, (Germany), 13-14 Sept. 2006.

77. Samukava S., Noguchi K., Colonel J.I., Bogart K.H. et al. Reduction of plasma induceddamage in an inductively coupled plasma using pulsed source power. // J. Vac. Sci. Technol. A., 2000, v. 18, issue 2, pp. 834-840.

78. Hwang G.S. and Giapis K.P., Mask Charging Effects on Feature Profile Evolution During

79. High-Density Plasma Etching //Electrochem. Soc. 1998 Vol. 152 P. 66-70.

80. En M. and Cheung N., Modelling of charging effects in plasma immersion ion implantation

81. Nucl. Instr. And Meth. In Phys. Res. 1995 Vol. В 96, P. 435-439.

82. Shin H.C., Hu Ch. Thin oxide damage due to plasma processing. // Semicond. Sci. Technol.,1996, v. 11, p.463-473.

83. Gyeong S.H. and Giapis K.P., Pattern-Dependent Charging in Plasmas: Electron Temperature Effects // Phys. Rev. Lett. 1997 Vol. 79, P. 845-848.

84. T. Ohmi, M. Hirayama, A. Teramoto. New era of silicon technologies due to radical reactionbased semiconductor manufacturing. // J.Phys.D: Appl.Phys., 2006, v. 39, p. R1-R17.

85. Flamm D.L., Donnely V. M. , Mucha J.A. The reaction of fluorine atoms with silicon. //

86. J.Appl.Phys., 1981, v.52, no.5, p.3633-3639.

87. Goodyear A. Endpoint Detection for Plasma Etching. // Materials of Workshop on Silicondry processing for Nanoelectronics and Micromechanics. Aahen, (Germany), 13-14 Sept. 2006.

88. Allen R.L., Moore R., Whelan M. Application of neural networks to plasma etch end pointdetection. // J.Vac.Sci.Technol. B, 1996, v.14, no.l, pp. 498-503.

89. Rietman E.A., Lee J. T.-C. Dynamic images of plasma processes: Use of Fourier blobs forendpoint detection during plasma etching of patterned wafers. // J.Vac.Sci.Technol. A, 1998, v.16, no.3, pp. 1449-1453.

90. Rietman E.A., Layadi N., Downey S.W. Use of ortogonal polynomial functions for endpointdetection during plasma etching of patterned wafers. // J.Vac.Sci.Technol. B, 2000, v. 18, no.5, pp. 2500-2504.

91. Уоссермен Ф. Нейрокомпьютерная техника. Теория и практика. // М., Мир, 1972, 238с.

92. McNevin S.C., Cerulo М. Diagnosing Si02 contact etch stop with optical emission. //

93. J.Vac.Sci.Technol. A, 1997, v.15, no. 3, p.659 663.

94. Coburn J.W., Chen M. Optical emission spectroscopy of reactive plasmas: A method forcorrelating emission intensities to reactive particle density. // J.Appl.Phys., 1980, v.51, no.6,p.3134-3136.

95. Granier A., Chereau D., Henda K., Safari R., Leprince P. Validity of actinometry to monitoroxygen atom concentration in microwave discharges created by surface wave in 02-N mixtures. //J.Appl.Phys., 1994, v. 75, no.l, p. 104-114.

96. Ibbotson D.E., Flamm D.L., Donnely V.M. Crystallographic etching of GaAs with bromineand chlorine plasmas. // J.Appl.Phys., 1983, v. 54, no.10, p.5974-5981.

97. Gottscho R.A., Davis G.P., Burton R.H. Spatially Resolved laser-Induced Fluorescence and

98. Optical Emission Spectroscopy of Carbon Tetrachloride Glow Discharges. // Plasma Chem. Plasma Proc., 1983, v. 3, no.2, p.193-218.

99. Gottscho R.A., Donnely V.M. Optical emission actinometry and spectral line shapes in rfglow discharges. // J.Appl.Phys., 1984 v. 56, no.2, p.245-262.

100. Jenq J.S., Ding J., Taylor J.W., Hershkowitz N. Absolute fluorine atom concentrations in

101. RIE and ECR CF4 plasmas measured by actinometry. // Plasma Sources Sci.Technol., 1994, v. 3, p.154.

102. Shogun V. , Tyablikov A. , Shelyhmanov E. , Abachev M., Sharf W., Wallendorf T.

103. Application of an acousto-optic spectrometer for plasma etching process quality control. // Surf. And Coat. Technol., 1995, v. 74-75, p.571-574.

104. Malyshev M.V., Donnely V.M. Determination of electron temperature in plasmas bymultiple rare gas optical emission, and implication for advanced actinometry. // J.Vac.Sci.Technol. A, 1997, v. 15, no. 3, p.550 558.

105. Malyshev M.V., Fuller N.C. , Bogart K.H.A., Donnely V.M. Laser-induced fluorescenceand Langmuir probe determination of Cl2+ and Cl+ absolute densities in transformer-coupled clorine plasmas. // Appl.Phys.Lett., 1999, v.74, no. 12, p. 1666 1668.

106. Steffens K.L., Sobolewski M.A. Planar laser-indused fluorescence of CF2 in 02/CF4 and02/C2F6 chamber cleaning plasmas: Spatial uniformity and comparison to electrical measurements. // J.Vac.Sci.Technol. A, 1999, v.17, no. 2, p.517 527.

107. Itabashi N., Kato K., Nishiwaki N., Goto Т., Matsuda A., Yamada C., Hirota E. Spatial

108. Distribution of SiH3 Radicals in RF Silane Plasma. // Jpn. J. Appl. Phys. Pt.2, 1990, v.29, no. 3,p. L505-L507.

109. Takanashi K., Hori M., Maruyama K., Kishimoto S., Goto T. Measurements of the CF, CF2,and CF3 Radicals in CHF3 Electron Cyclotron Resonance Plasma. // Jpn. J. Appl. Phys., 1993, v.32, no. 5A, p. L694-L697.

110. Miyata K., Hori M. , Goto T. CFX (x=l-3) radical densities during Si, Si02, and Si3N4etching employing electron cyclotron resonance CHF3 plasma. // J.Vac.Sci.Technol. A, 1997, v,15,no. 3, p.568 572.

111. Kawai Y., Sasaki K., Kadota K. Comparison of Fluorine Atom Density Measured by

112. Actinometry and Vacuum Ultraviolet Absorption Spectroscopy. // Jpn. J. Appl. Phys., Part 2, 1997, v.36, no. 9A/B, p. LI261-LI264.

113. Tachibana K., Kamisugi H. Vacuum-ultraviolet laser absorption spectroscopy for absolutemeasurement of fluorine atom density in fluorocarbon plasmas. // Applied Physics Lett., 1999, v.74, no. 16, p. 2390 2392.

114. Пупышев A.A., Суриков B.T. Масс-спектрометрия с индуктивно связанной плазмой.

115. Образование ионов. //. Екатеринбург: УРО РАН, 2006. 276 с.

116. Day А.Р., Field D.,Klemperer D.F., Song.Y.P. Reexamine Mass Spectrometry for Endpoint

117. Detection. // Semicond. International, 1989, v. 12, no.l 1, p. 110-113.

118. Wan Z., Liu J., Lamb H.H. Electron cyclotron resonance plasma reactor for Si02 etching:

119. Process diagnostics, end-point detection, and sufface characterization. // J.Vac.Sci.Technol. A, 1995, v.13, no 4, p.2035- 2043.

120. Tomas S., Chen H.H., Hanish C.K., Grizzle J.W., Pang S.W. Minimized response time ofoptical emission and mass spectrometric signals for optimized endpoint detection. // J.Vac.Sci.Technol. B, 1996, v.14, no 4 , p.2531- 2536.

121. Sung K.-T., Pang S.W. Mass Spectrometry, Optical Emission Spectroscopy, and Atomic

122. Force Microscopy Studies of Si Etch Characteristics in Cl2 Plasma Generated by an Electron Cyclotron Resonance Source. // Jpn.J.Appl.Phys., Part 1, 1994, v.33, no 12B, p.7112-7116.

123. Chowdhury A.I., Klein T.M., Anderson T.M., Parsons G.N. Silane consumption and conversion analysis in amorphous silicon and silicon nitride plasma deposition using in situ mass spectrometry. // J.Vac.Sci.Technol. A, 1998, v. 16, no 3, p. 1852 1856.

124. Mott-Smith H, Langmuir I. The Theory of Collectors in Gaseous Discharges. // Phys. Rev., 1926, v.28, no.4, p.727-763.

125. Каган Ю.М., Перель В.И. Зондовые методы исследования плазмы. // УФН, 1963, т. 81, №3, с. 409-452.

126. Чен Ф. Электрические зонды. // В кн.: Диагностика плазмы. / Под ред. Р.Хаддлстоуна, С.М. Леонарда. М.; Мир, 1967.

127. Демидов В.И., Колоколов Н.Б., Кудрявцев А.А. Зондовые методы исследованиянизкотемпературной плазмы. // М.: Энергоатомиздат, 1996.

128. Ю.А. Лебедев. Введение в зондовую диагностику плазмы пониженного давления. // М.: МИФИ, 2003, 56 с.

129. Иванов Ю.А., Лебедев Ю.А., Полак Л.С. Методы контактной диагностики внеравновесной плазмохимии, с. 143. Москва, Наука, 1981.

130. Swift I.D. Effects of finite probe size in the determination of electron energy distributionfunctions. // Proc. Phys. Soc., 1962, v. 19, p. 627 705.

131. Мальков M.A., Девятов A.M., Кузовников A.A., Ершов А.П. Зондовая диагностикаплазмы газоразрядных источников света. // Саранск, Изд-во Мордовского ун-та, 1991, 96 с.

132. Ершов А.П., Исаев К.Ш., Калинин А.В., Соколов А.Б., Орликовский А.А. Особенности применения зондов для диагностики низкочастотного разряда в смеси СВгРз/Аг в диодном плазмохимическом реакторе. // М.: Наука, Труды ФТИАН, 1993, т.6, с. 17-34.

133. Allen J.E., Boyd R.L.F., Reynolds P. The Collection of Positive Ions by a Probe Immersedin a Plasma. // Proc. Phys. Soc. B, 1957, v.70, issue 3, p. 297-304.

134. H. Amemiya, B.M. Annaratone, J.E. Allen. The collection of positive ions by spherical andcylindrical probes in an electronegative plasma. // Plasma Sources Sci. Technol., 1999, v. 8, p. 179- 190.

135. Chen F.F. Langmuir probe analysis for high-density plasmas. // Phys. Of Plasmas, 2001,v.8, no.6, pp. 3029-3041.

136. P. Bryant, A. Dyson, J.E. Allen. Langmuir probe measurements of weakly collisionalelectronegative RF discharge plasmas. // J. Phys. D, v. 34, 2001, p. 95 104.

137. N. J. Braithwaite, J.E. Allen. Boundaries and probes in electronegative plasmas. // J. Phys.

138. D, 1988, v. 21, no. 12, 1733-1738.

139. H. Amemiya. Probe diagnostics in negative ion containing plasmas. // J. Phys.Soc. of

140. Japan, 1988, v. 57, no. 3, p. 887-902.

141. H. Amemiya. Plasmas with negative ions probe measurements and charge equilibrium. //

142. J. Phys. D, 1990, v. 23, p. 999-1014.

143. J. Hopwood, C.R. Guarnieri, S.J. Whitehair, J.J. Cuomo. Langmuir probe measurements ofa radio frequency induction plasma. // J. Vac. Sci. Technol. A., 1993, v. 11, p. 152-156.

144. Chen F.F., Sudit I.D. RF-compensated probes for high density discharges. Plasma Sources

145. Sci. Techno 1., v. 3, p. 162-168 (1994).

146. Маишев Ю.П., Фареник В.И., Шевченко A.B., Будянский A.M., Дудин С.В., Зыков

147. А.В. Специфика диагностики параметров плазмы электрическими зондами в процессах ионно-лучевого и плазмохимического травления. // М.: Наука, Труды ФТИАН, 1999, т. 15, с. 86 116.

148. Dalton Т., Conner W., Sawin Н. Interferometric Real-Time Measurement of Uniformity for

149. Plasma Etching. // J. Electrochem. Soc., 1994, v.141., no.7, pp. 1893- 1899.

150. Tepermeister I., Conner W.T., Alzaben Т., Barnard H., Gehlert K., Scipione D. In situmonitoring of product wafers. // Solid State Technol., 1996, v.39, no.3, pp.63-68.

151. Wong K., Boning D.S., Sawin H.H., Butler S.W., Sachs E.M. Endpoint prediction forpolysilicon plasma etch via optical emission interferometry. // J. Vac. Sci. Technol. A, 1997, v.15, no.3, pp. 1403-1408.

152. Kawata H., Fucuda H., Matsunaga Т., Yasuda M., Murata K. In Situ Measurements of the

153. Resist Etch Rate for Submicron Patterns. // Jpn. J. Appl. Phys., Pt.l, 1999, v.38, no.7B, pp. 4478-4482.

154. Khamsehpour В., Wilkinson C.D.W., Chapman J.N. Use of laser reflectometry for endpoint detection during the etching of magnetic thin films. // J. Vac. Sci. Technol. A, 1997, v.15, no.4, pp. 2069-2073.

155. Stutzman B.S., Huang H.-T., Terry F.L. Two-channel spectroscopic reflectometry for insitu monitoring of blanket and patterned structures during reactive ion etching. // J. Vac. Sci. Technol. B, 2000, v. 18, no.6, pp. 2785-2793.

156. Аззам P.H.M., Башара H.M. Эллипсометрия и поляризованный свет. // М., Мир, 1981,583 с.

157. Борн М, Вольф Э. Основы оптики. /Под ред. Мотулевич Г.П. // М., Изд. «Наука»,1970, 855 с.

158. Henk S.A. In situ real-time ellipsometry for film thickness measurement and control. // J.

159. Vac. Sci. Technol. A, 1992, v.10, no. 4, pp. 934 938.

160. Haverlag M., Kroesen G.M.W., de Zeeuw C.J.H., Creyghton Y., Bisschops T.H.J. In situellipsometry during plasma etching of Si02 films on Si. // J. Vac. Sci. Technol. B, 1989, v.7, no.3, pp. 529-534.

161. Arimoto H. Monitoring of subquartermicron line and space pattern by ellipsometry. // J.

162. Vac. Sci. Technol. B, 1997, v.15, no.6, pp. 2151-2154.

163. Kildemo M., Bulkin P., Drevillon B. Real time control of plasma deposited multilayers bymultiwave length ellipsometry. Appl. Phys. Lett., 1996, v.68, no.24, pp. 3395-3397.

164. Hayashi Y., Nakamura H., Nagahiro M., Nishino S. Ellipsometric Monitoring of First

165. Stages of Diamond Nucleation in a Bias-Enhanced Surface-Wave-Excited Microwave Plasma. // Jpn. J. Appl. Phys., Pt. 1, 1999, v.38, no.7B, pp. 4508-4511.

166. Vallier L., Desvoivres L., Bonvalot M., Joubert O. Thin gate oxide behavior during plasmapatterning of silicon gates. //Appl. Phys. Lett., 1999, v.75, no.8, pp. 1069-1070.

167. Pickering C., Russel J., Nayar V., Imschweiler J., Wille H., Harrington S., Wiggins C.,

168. Stehle J.-L., Piel J.-P., Bruchez J. Evaluation of automated spectroscopic ellipsometry for in-line process control -ESPIRIT Semiconductor EquipmentAssessment (SEA) Project "IMPROVE". // Thin Solid Films, 1998, v.313 314, pp. 446-453.

169. Blayo N., Cirelli R.A., Klemens F.P., Lee J.T.-C. Ultraviolet-visible ellipsometry for process control during the etching of submicrometer features. // J. Opt. Soc. Am. A, 1995, v.12, no.3, pp.591-599.

170. Hilfiker J.N., Synowicki R.A. Spectroscopic ellipsometry for process applications. // Solid

171. State Technol., 1996, v.39, no.10, pp.157-167.

172. Lee J.T.-C., Blayo N., Tepermeister I., Klemens F.P., Mansfield, Ibbotson D.E. Plasma etching process development using in situ optical emission and ellipsometry. // J. Vac. Sci. Technol. B, 1996, v.14, no.5, pp. 3283-3290.

173. Maynard H.L., Layadi N., Lee J.T.-S. Multiwavelength ellipsometry for real-time processcontrol of the plasma etching of patterned samples. // J. Vac. Sci. Technol. B, 1997, v. 15, no.l, pp. 109-115.

174. Maynard H.L., Layadi N., Lee J.T.-S. Plasma etching of submicron devices: in situ monitoring and control by multiwavelength ellipsometry. // Thin Solid Films, 1998, v.313-314, pp. 398-405.

175. Vallon S., Joubert 0.,Vallier L., Ferrieu F., Drevillon В., Blayo N. Real-time ultraviolettellipsometry monitoring of gate patterning in a high density plasma. // J. Vac. Sci. Technol. A, 1997, v. 15, no.3, pp. 865-870.

176. Магунов A.H. Лазерная термометрия твердых тел в плазме. // Приборы и техникаэксперимента, 2000, № 2, с.3-28.

177. С.Т. Gabriel, A.S. Ginwalla. Measuring and controlling wafer temperature during plasmaetching. // Micro magazine, 2001, issue 6, p. 38.

178. Loewenhardt P., Zawalski W., Ye Y., Zhao A., Webb T.R., Tajima D., Ma D.X. Plasma Diagnostics: Use and Justification in an Industrial Environment. // Jpn.J.Appl.Phys., Part 1, 1999, v.38, no. 7B, pp. 4362-4366.

179. V. Godyak. Plasma phenomena in inductive discharges. // Plasma Phys. Control. Fusion, 2003, v. 45, pp. A399-A424.

180. Годяк B.A., Оке C.H. О корректности измерений ФРЭЭ в ВЧ плазме. // ЖТФ, 1979, т. 49, вып.7, с. 1408-1411.

181. Годяк В.А., Попов О.А. О зондовой диагностике ВЧ плазмы. // ЖТФ, 1977, т. 47, с.766-771.

182. Hutchinson I.H. Principles of Plasma Diagnostics. //Cambridge, Univ.Press, 2002, 2-nd edition, 440 pp.

183. Godyak V.A., Piejak R.B., Alexandrovich B.M. Measurements of electron energy distribution in low-pressure RF discharges. // Plasma Sources Sci. Technol., 1992, v. 1, p. 36-58.

184. Kono A. Negative ions in processing plasmas and their effect on the plasma structure. // Applied Surface Science, 2002, v. 192, pp. 115-134.

185. Franklin R.N. The plasma-sheath boundary region. // J. Phys. D, 2003, v. 36, p. R309-R320.

186. Chatterton P.A., Rees J.A., Wu W.I., Al-Assadi K. A self-compensating Langmuir probe for use in RF (13.56 MHz) plasma systems. // Vacuum, 1991, v. 42, no.7, p.489-493.

187. Karoulina E.V., Lebedev Yu.A. Computer simulation of microwave and DC plasmas: comparative characterization of plasmas. // J. Phys. D, 1992, v. 25, p. 401-412.

188. Sung K.T., Juan W.H., Pang S.W. Dependence of etch characteristics on charge particles as measured by Langmuir probe in multipolar electron cyclotron resonance source. // J. Vac. Sci. Technol. A, 1994, v. 12, no. 1, p. 69-74.

189. Gudmundsson J.T., Kouznetsov, Patel K.K., Liberman M.A. Electronegativity of low-pressure high-density oxygen discharges. // J.Phys.D., 2001, v. 34, pp. 1100 1109.

190. Lagarde Т., Arnal Y., Lacoste A., Pelletier J. Determination of the EEDF by Langmuir probe diagnostics in a plasma excited at ECR above a multipolar magnetic field. // Plasma Sources Sci. Technol., 2001, v. 10, pp. 181-190.

191. H. Sugai, I. Ghanashev, M. Hosokava, K. Mizuno, K. Nakamura, H. Toyoda, K. Yamauchi. Electron energy distribution functions and the influence on fluorocarbon plasma chemistry. // Plasma Sources Sci. Technol., 2001, v. 10, pp. 378-385.

192. B.-W. Koo, N. Hershkowitz, M. Sarfary. Langmuir probe in low temperature, magnetized plasmas: Theory and experimental verification // J. Appl. Phys., 1999, v. 86, no. 3, pp.1213-1220.

193. I. P. Ganachev, H. Sugai. Production and control of planar microwave plasmas for materials processing. // Plasma Sources Sci. Technol. A, 2002, v. 11, pp. 178-190.

194. Kimura Т., Ohe K. Investigation of electronegativity in a radio-frequency Xe/SF6 inductively coupled plasma using a Langmuir probe. // Appl. Phys. Lett., 2001, v. 79, no. 18, p.2874-2876.

195. Christophorou L. G., Olthoff J. K. Electron Interactions with SF6 . // J. Phys. Chem. Ref. Data, 2000, v. 29, iss. 3, pp. 267-330.

196. Yazawa H., Tonegawa A., Ono M., Shibuya Т., Kawamura K. Observation and Modelingof Molecular and Atomic Ions in Hydrogen Plasma. // Jap. J. Appl. Phys., part B, 2006, v. 45, no. 10, pp. 8208-8211.

197. Tonegawa A., Kumita K., Ono M., Shibuya Т., Kawamura K. Characteristics of Hydrogen

198. Negative Ions in Sheet Plasma. // Jap. J. Appl. Phys., part B, 2006, v. 45, no. 10, pp. 8212-8216.

199. Гурович Б.А., Долгий Д.И., Кулешова E.A., Велихов Е.П., Ольшанский Е.Д.,

200. Домантовский А.Г., Аронзон Б.А., Мейлихов Е.З. Управляемая трансформация электрических, магнитных и оптических свойств материалов ионными пучками. // УФН, 2001, т. 171, вып. 1, с. 105-117.

201. Shindo М., Hiejima S., Ueda Y., Kawakami S., Ishii N., Kawai Y. Parameters measurement of ECR C4F8/Ar plasma. // Thin Solid Films, 1999, v. 345, p.130 -133.

202. Hopwood J., Mantei T.D. Application-driven development of plasma source technology. //

203. J. Vac. Sci. Technol. A, 2003, v. 21, no 5, pp. S139-S144.

204. Leou K.-C., Tsai S.-C., Chang C.-H., W.-Y. Chiang, T.-L. Lin, and Tsai C.-H.

205. Experimental characterization of an inductively coupled plasma discharge using a shape-adjustable coil. // Jpn. J. Appl. Phys., 1999, v. 38, pp. 4268-4274.

206. Marwan H., Khater S., Overzet L. J. A new inductively coupled plasma source design with improved azimuthal symmetry control. // Plasma Sources Sci. Technol., 2000, v.9, no.4, pp.545-561.

207. Meziani Т., Colpo P., Rossi F. Design of a magnetic-pole enhanced inductively coupled plasma source. // Plasma Sources Sci. Technol., 20001, v. 10, pp. 276-283.

208. Srinivasan S., Marquis O., Pratti L., Khater M.H., Goecker M.J., and Overzet L.J. The effect on plasma properties of a current node on inductively coupled plasma sources. // Plasma Sources Sci. Technol. 2003, v. 12, pp. 432-442.

209. Pelletier J., Arnal Y., Moissan M. Interest of Plasma Confinement and its Limits. / Chap.9 in "Microwave Excited Plasmas". // Ed. by M. Moisan, J. Pelletier. Amsterdam, Elsevier, 1992, pp. 249-271.

210. Kasner W.H., Biondi M.A. Electron-Ion Recombination in Nitrogen. // Phys. Rev. A, 1965, v. 137, pp.317-329.

211. J.B. Hasted. Physics of atomic collisions. 2nd ed. //London, Butterworths, 1972, 773 pp.

212. Boffard J.B, Lin C.C., DeJoseph C.A. Application of excitation cross sections to optical plasma diagnostics. // J. Phys. D., 2004, v. 37, p. R143 R161.

213. WalkupR.E., SaengerK.L., SelwynG.S. Studies of atomic oxygen in 02+CF4 rf discharges by two-photon laser-induced fluorescence and optical emission spectroscopy. // J. Chem. Phys., 1986, v. 84, p. 2668 2674.

214. Katsch H.M., Tewes A., Quandt E., Goehlich A., Kawetzki Т., Dobele H.F. Detection of atomic oxygen: Improvement of actinometry and comparison with laser spectroscopy. // J.AppLPhys., 2000, v.88, no. 11, p.6232-6238.

215. Fuller N.C.M., Malyshev M.V., Donnelly V.M., Herman I.P. Characterization of transformer coupled oxygen plasmas by trace rare gases-optical emission spectroscopy and Langmuir probe analysis. // Plasma Sources Sci. Technol., 2000, v.9, pp.116-127.

216. Kiss L.D.B., Nicolai J.-P., Conner W. Т., Sawin H.H. CF and CF2 actinometry in a CF4/Ar plasma. //J.Appl.Phys., 1992, v. 71, no. 7, pp. 3186-3192.

217. Kawata H., Takao Y., Murata K., Nagami K. Optical emission spectroscopy of CF4+02 plasmas using a new technique. // Plasma Chem. And Plasma Proc., 1988, v. 8, no. 2, pp. 189-206.

218. R. Lamendola, R. dAgostino. Process control of organosilicon plasmas for barrier film preparations. // Pure & Appl. Chem., 1998, v. 70, no. 6, pp. 1203-1208.

219. Балакший В.И., Парыгин B.H., Чирков Л.И. Физические основы акустооптики. // М., Радио и связь, 1985, 280 с.

220. Ельяшкевич М.А. Атомная и молекулярная спектроскопия. / М., «Эдиториал УРСС», 2-е изд., 2001, 896 с.

221. Малышев В.И. Введение в экспериментальную спектроскопию. / М., «Наука», Гл. ред. Физ.-мат. лит., 1979, 480 с.

222. Zaidel' A.N., Prokof ev V.K., Raiskii S.M., Slavnyi V.A., ShreiderE.A. Tables of Spectral Lines. // IFI/Plenum, N.-Y. London, 1970, 782 pp.

223. Рабинович В. А., Хавин 3. Я. Краткий химический справочник. // Л., 1978, 376 с.

224. McKillop J.S., Forster J.C., Hollber W.M. Optical emission characterization of a divergent magnetic field electron cyclotron resonance source. // J.Vac.Sci.Technol. A, 1989, v.7, no.3, p. 908-913.

225. Очкин B.H. Спектроскопия низкотемпературной плазмы. //M., Физматлит, 2006, 471 с.

226. Mortret О, Hibert С, Pellerin S. Rotational temperature measurements in atmospheric pulsed DBD Gas temperature and molecular fraction effects. // J. Phys. D, 2000, v. 33, p. 1493-1498.

227. Pellerin S, Musiol K, Mortret O, Pokrzywka В and Chapelle J. Application of the (0,0) Swan band spectrum for temperature measurements. // J. Phys. D, 1996, v. 29, p. 28502865.

228. Vivien C, Hermann J, Peronne A and Boulmer-Leborgne C. A study of molecule formation during laser ablation of graphite in low-pressure ammonia. // J. Phys. D, 1999, v. 32, pp. 518-528.

229. Chelouah A, Marode E, Hartmann G, Achat S. A new method for temperature evaluationin a nitrogen discharge. J. Phys. D, 1994, v. 27, p.940-945.

230. Chelouah A, Marode E, Hartmann G. Measurement of rotational and vibrational temperatures in a low-pressure plasma device using the Abel transform and a spectral slit function. // J. Phys. D, 1994, v. 27, p. 770-780.

231. H. Nassar, S. Pellerin. N 2/ N2 ratio and temperature measurements based on the first negative N+2 and second positive N2 overlapped molecular emission spectra. // J. Phys. D, 2004, v. 37, p.1904-1916.

232. Карачев A.A., Шибков B.M., Шибкова JI.B. Измерение температуры газа по неразрешенной структуре молекулярных спектров. // Труды XXX Звенигородской конференции по физике плазмы и УТС, 2003, с. 222.

233. Лебедев Ю.А., Шахатов В.А., Frayssines Р.Е., Bonifaci N., Denat A., Lesaint O., Атражев B.M. Спектральные исследования параметров стримера в жидком азоте. // Труды XXXIII Звенигородской конференции по физике плазмы и УТС, 2006, с. 222.

234. Czernichowski A. Temperature evaluation from the partially resolved 391 nm N2+ band. // J. Phys. D, 1987, v. 20, no. 5, p. 559-564

235. Goyette A, Jameson W, Anderson Land Lawler J. An experimental comparison of rotational temperature and gas kinetic temperature in H2 discharge. // J. Phys. D, 1996, v. 29, p. 1197-1201.

236. Герцберг Г. Спектры и строение двухатомных молекул. М. Издательство иностранной литературы, 1949.

237. Лебедев Ю.А., Шахатов В.А. Диагностика неравновесной азотной плазмы по излучению второй положительной системы азота. // Физика плазмы, 2006, т.32, № 1, с. 58-74.

238. Pearse R.W.B., Gaydon A.G. The Identification of Molecular Spectra. // N.-Y., John Wiley & Sons, 4-th edition, 1976, 407 pp.

239. PGOPHER: Simulator of Rotational Structure of Spectra, ver. 5.1.164, 2005, http://pgopher.chm.bris.ac.uk.

240. Hartmann G, Johnson P. Measurements of relative transition probabilities and the variation of the electronic transition moment for N2 С3 Пи+ —>• (В3 ng+ second positive system. J. Phys. В.: Atom. Molec. Phys., Vol. 11, No 9, 1978.

241. Kovacs I. Rotational structure in the spectra of diatomic molecules. Budapest: Akademiai Kiado, 1969.

242. Оторбаев Д.К., Очкин B.H., Рубин П.Л. Электронно-возбужденные молекулы в неравновесной плазме. // М., Наука, 1985.

243. Энциклопедия низкотемпературной плазмы. Вводный том. //Под ред. В.Е. Фортова.1. М., Наука, 2000.

244. Booth, J. P.; Abada, Н.; Chabert, P.; Graves, D. B. CF and CF2 radical kinetics andtransport in a pulsed CF4 ICP. Plasma Sources Sci. Technol., 2005, v. 14, no. 2, pp. 273282.

245. M. Shimada, G. R. Tynan, R. Cattolica. Neutral gas density depletion due to neutral gas heating and pressure balance in an inductively coupled plasma. // Plasma Sources Sci. Technol., 2007, v. 16, no.l, p. 193-199.

246. Магунов A.H, Лукин O.B., Малыпаков В.Г., Попов A.A. Тепловой баланс кремниевых и кварцевых пластин в плазмохимическом реакторе. // М.: Наука, Физматлит, Труды ФТИАН, 1997, т. 12, с. 99 120.

247. Борисов С.Ф, Балахонов Н.Ф., Губанов В.А. Взаимодействие газов с поверхностьютвердых тел. // М., Наука, 1988, 200 с.

248. Амиров И.И., Магунов А.Н. Температурная зависимость мощности, переносимой ионным потоком из плазмы на поверхность. // Труды XXVIII Звенигородской конференции по физике плазмы и УТС, 2001.

249. Yun S., Taylor К., Tynan G. R. Measurement of radial neutral pressure and plasma density profiles in various plasma conditions in large-area high-density plasma sources. // Phys. Plasmas, 2000, v. 7, no. 8, p. 3448 3456.

250. Zangwill A. Physics at surfaces. // Cambridge, Univ. Press, 2001, 472 pp.

251. Sommerer T.J., Kushner M.J. Translationally hot neutrals in etching discharges. // J. Appl.

252. Phys., 1991, v. 70, no. 3, p. 1240- 1251.

253. Sankaran A., Kushner M.J. Fluorocarbon plasma etching and profile evolution of porouslow-dielectric-constant silica. // Appl. Phys. Lett, 2003, v. 82, no 12 , p. 1824 1826.

254. Tai-Ran Hsu. MEMS and Microsystems: Design and Manufacture. // N-Y.: McGraw-Hill, 2002, 436 pp.

255. Bogart K.H.A., Klemens F.P. et. al. Mask charging and profile evolution during chlorine plasma etching of silicon // J. Vac. Sci. Technol. A, 2000, v.l 8, p. 197-206.

256. Kim J.S., Rao M., Cappelli M.A. et al. Mass spectrometric and Langmuir probe measurements in inductively coupled plasmas in Ar, CHF3/Ar and CHF3/Ar/02 mixtures. //

257. Plasma Source Sci. Technol., 2001, v. 10, pp. 191-210.

258. Deguchi M., Itatani R. A novel and convenient method for monitoring processing plasma: The insulated pulse probe method. Jpn.J.Appl.Phys., 1998, v.37, pt.l, по.ЗА, p. 970-980.

259. Liberman M.A. Model of plasma immersion ion implantation.// J.Appl.Phys., 1989, v.66, p.2926-2929.

260. Физические величины: справочник. /Под ред. Григорьева И.С., Мейлихова Е.З. // М.; Энергоатомиздат, 1991, 1232 с.

261. Okino F., Touhara Н. Graphite and Fullerene Intercalation Compounds// Comprehensive Supramolecular Chemistry / Ed. By J.L. Atwood et al. // Oxford: Pergamon Press, 1996, v. 7, p. 25-76.

262. Stamate E., Ohe K. On the surface condition of Langmuir probes in reactive plasmas. // Appl. Phys. Lett., 2001, v.78, no.2, p. 153-155.

263. Hebner G.A., Abraham I.C. Surface dependent electron and negative ion density in SF6/argon gas mixtures. // J. Appl. Phys., 2002, v. 91, no. 12, p. 9539-9546.

264. Ершов А.П., Довженко B.A., Кузовников A.A., Оке С.Н. Об обработке вольтамперных характеристик зонда Ленгмюра в немаксвелловской плазме // Физика плазмы, 1981, т.7, № 3, с. 609.

265. Park В.-К., Kim D.-G., Kim G.-H. Analysis of Langmuir Probe Data Using Wavelet Transform.// IEEE Trans, of Plasma Science, 2004, v. 32, no. 2, p. 355-361.

266. Savitzky A. and Golay M.J.E. Smoothing and Differentiation of Data by Simplified Least Squares Procedures. // Analyt. Chem., 1964, v. 36, no. 8, p. 1627-1639.

267. Ершов А.П., Кузовников А.А. Энергетическое распределение электронов в плазме ВЧ-разряда низкого давления в ксеноне. // Физика плазмы, 1985, т. 11, вып.5, с. 618 -621.

268. Christophorou L. G., Olthoff J. К. Electron Interactions With SF6. // J. Phys. Chem. Ref.

269. Data, 2000, v. 29, no. 3, p. 267 330.

270. Smith Т.Н., Boning D.S. Artificial neural network exponentially weighted moving average controller for semiconductor processes. J.Vac.Sci.Technol. A, v.15, no.3, pp. 1377-1384 (1997).

271. Рабек Я. Экспериментальные методы в фотохимии и в фотофизике: В 2-х томах. Т. 1. / Пер. с англ. // М., Мир, 1985. 608 с.

272. Невзоров В.П., Словецкий Д.И., Шелыхманов Е.Ф. Кинетика образования и гибели атомарного фтора при разложении гексафторида серы в тлеющем ВЧ-разряде. // ХВЭ, 1987, т. 21, №5, с. 458 463.

273. Н.Н. Калиткин. Численные методы.// М., Наука, 1978, 512 с.

274. В. Johs et al. Recent Developments in Spectroscopic Ellipsometry for in situ Applications. //Proc. of SPIE, v. 4449, p. 41-57.

275. Ковалев В.И., Руковишников А.И. Импульсный спектральный эллипсометр с бинарной модуляцией состояния поляризации. // Приборы и техника эксперимента, 2003, №2, с. 162-163.

276. Ковалев В.И., Руковишников А.И. Компактный многоканальный спектроэллипсометр для ex-situ и in-situ измерений. // Приборы и техника эксперимента, 2003, № 2, с. 164-165.

277. McMillin В.К., Zachariah M.R. Two-dimensional imaging of CF2 density by laser-induced fluorescence in CF4 etching plasmas in the gaseous electronics conference reference cell. // J. Vac. Sci. Technol. A, 1997, v. 15, no. 2, pp. 230 237.

278. Steffens K.L., Sobolewski M.A. Planar laser-induced fluorescence of CF2 in 02/CF4 and 02/C2F6 chamber-cleaning plasmas: Spatial uniformity and comparizon to electrical measurements. // J. Vac. Sci. Technol. A, 1999, v.17, no. 2, pp. 517 527.

279. Booth J.P., Cunge G., Chabert P., Sadeghi N. CFX radical production and loss in CF4 reactive ion etching plasma: Fluorine rich conditions. // J. Appl. Phys., 1999, v. 85, no. 6, pp. 3097-3107.

280. Cunge G., Booth J.P. CF2 production and loss mechanisms in fluorocarbon discharges: Fluorine-poor conditions and polymerization. //. J.Appl.Phys., 1999, v. 85, no. 8, pp. 39523959.

281. Наттерер Ф. Математические аспекты компьютерной томографии. / Под ред. В.П. Паломодова. М., "Мир", 1990, 279 с.

282. Пикалов В.В., Преображенский Н.Г. Реконструктивная томография в газодинамике и физике плазмы. // Новосибирск, Изд. "Наука", 1987, 231 с.

283. Пикалов В.В., Мельникова Т.С. Томография плазмы. Новосибирск, Изд. «Наука», 1995, 221 с.

284. Hermen G. Image reconstructions from projections: The fundamentals of computerized tomography. // Ac. Press, New York, 1980, 352 p.

285. Chen F., Brown G.M., Song M. Overview of three-dimensional shape measurement using optical methods. // Opt. Engineering, 2000, v. 39, no. 1, pp. 10-22.

286. Тихонов A.H., Арсенин В.Я. Методы решения некорректных задач. М., Наука, 1986, 287 с.

287. Okigawa A., Makabe Т., Shibagaki Т., Nakano N., Petrovic Z. Lj., Kogawa Т., Itoh A. Robot Assisted Optical Emission Tomography in an Inductively Coupled Plasma Reactor. //Jpn. J. Appl. Phys., Part 1, 1996, v. 35, no. 3, pp. 1890-1893.

288. Okigawa A., Tadakoro M., Itoh A, Nakano N., Petrovic Z. Lj., Makabe T. Three Dimensional Optical Emission Tomography of an Inductively Coupled Plasma. // Jpn. J. Appl. Phys., Part 1, 1997, v. 36, no. 7B, pp. 4605-4616.

289. Benck E.C., Etemadi K. Fiber optic based optical tomography sensor for monitoring plasma uniformity. // Proc. of AIP Conference, 2001, v. 550, no.l, pp. 268-272.