автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.02, диссертация на тему:Модели цифровых и микропроцессорных структур и методы их анализа в системе диагностического обслуживания

доктора технических наук
Хаханов, Владимир Иванович
город
Харьков
год
1996
специальность ВАК РФ
05.13.02
Автореферат по информатике, вычислительной технике и управлению на тему «Модели цифровых и микропроцессорных структур и методы их анализа в системе диагностического обслуживания»

Автореферат диссертации по теме "Модели цифровых и микропроцессорных структур и методы их анализа в системе диагностического обслуживания"

V МИНИСТЕРСТВО ОБРАЗОВАНИЯ УКРАИНЫ

ХАРЬКОВСКИЙ' ГОСУДАРСТВЕННЫЙ ТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ РАДИОЭЛЕКТРОНИКИ

На оравах рукописи Хаханов Владимир Иванович

МОДЕЛИ ЦИФРОВЫХ И МИКРОПРОЦЕССОРНЫХ СТРУКТУР И МЕТОДЫ ИХ АНАЛИЗА В СИСТЕМЕ ДИАГНОСТИЧЕСКОГО ОБСЛУЖИВАНИЯ

05.13.02 - математическое моделирование в научных исследованиях 05.13.08 - вычислительные машины, системы и сети, элементы а устройства вычислительной техники и систем управления

Автореферат диссертации на соискание ученой степени доктора технических наук

Харькоз - 1996

Работа выполнена в Харьковском государственном техническом университете радиоэлектроники

Научный консультант: доктор технических наук, профессор Кривуля Г.Ф.

Официальные оппоненты:

доктор технических наук, профессор Евдокимов А.Г. доктор технических наук, профессор Загарий Г.И. доктор техничесюгх наук, профессор Дербунович Л.В.

Ведущая организация:

Национальный технический университет Украины "Киевский политехнический институт"

Защита состоится 27 июня 1996 года в 13 nacos на заседании специализированного совета Д 02.25.04 Харьковского государственного технического университета радиоэлектроники по адресу: 310726, г. Харьков, пр. Ленина, 14.

Отзыв на автореферат диссертации, заверенный печатью организации, прошу направлять в адрес института ученому секретарю специализированного совета

С диссертацией можно ознакомиться в библиотеке ХТУРЗ

Автореферат разослан 27 мая 1996 года

Ученый секретарь специализированж доктор технических наук ) Левыкин В.М.

специализированного совета, 1 ^

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальности проблемы отражает точку зрения аэтора на решение отдельных задач диагностирования вычислительных устройств, которая расширена до линии горизонта, уместившей традиционные (базовые) и оригинальные подходы, не для того, чтобы показать несостоятельность универсализма каждого из них, но для создания новых технологий, обогащающихтеорию и практику техническо!! диагностики, занимающей в настоящее время ведущее место среди фундаментальных технических наук, чему способствуют ученые: П.П.Пархоменко, О.Ф.Немолочнов, В АГуляев, A. М. Романкевич, Н.П.Байда, В.П.Чипулис, Д. В.Сперанский, Р.Й.Шейнаускас, Л.В.Дербунович, Е.С.Согомонян, В.П.Калявин, И.Ф.Клисторин, Р.Й.Убар, Ю.В.Малышенко, Г.Ф.Кривуля, С.Г.Шаршунов, О.В.Вузовский, АЭ.Таргамадзе, ААГремальский, А.Г.Биргер, M.Brcuer, A.Friedman, S.That te, JAbraham, MAbramovici, AParker, S.Funatsu, D.Ag\varaI, J.Hayes, Y.Levendel, P.Menon, S.Cluippel, S.Szygenda, C.Rcbach, Y.Zorian, B.Courtois, E.Ulrich, T.Baker.

Желтая волна вычислительной техники (ВТ), захлестнувшая страны СНГ, откатилась назад, показав подводные рифы несостоятельности использования некачественных изделий, особенно в сферах ннфор.мационныхтехнологий с высокой стоимостью отказа. Проявляя заботу уже об изысканном пользователе, производитель вычислительных систем определяет в качестве основной тенденцию к смещению обьема решения задач диагностирования из периода эксплуатации на этапы проектирования и производства. Цель такой технической политики - совместное проектирование цифрового изделия и его диагностического обеспечения (ДО), позволяющей уменьшить совокупные временные и материальные затраты и смоделировать все возможные ситуации отказов на стадии разработки для определения рекомендаций по восстановлению работоспособности обьекта за минимальное время. Средством для

достижения цели может служить система диагностического обслуживания (СДО), которая выполняет функции: создания модели проекта и его верификации, генерации тестов, оценки их качества, организации и проведения диагностического эксперимента. Временные и материальные затраты построения диагностическою обеспечения, включающего тесты и алгоритмы поиска дефектов, зависят от (возможности) выбора операционных средств СДО, эффективность и стоимость создания которых в большей степени определяется формой математических моделей (таблицы, аналитические выражения и графические структуры) объектов диагностирования и технологичными методами их анализа.

Повышенный интерес к табличным способам задания дискретных процессов и явлений ведущих отечественных и зарубежных фирм обусловлен универсальностью формы для решения задач тестового диагностирования, простотой ее восприятия пользователем, технологичностью компьютерной реализации методов и алгоритмов анализа цифровых устройств (ЦУ) для решения производственных задач технической диагностики. Кроме того, вычислительные мощности современных компьютеров практически не ограничивают разработчика СДО в части экономии оперативной памяти и быстродействия обработки обьекта. Более существенными представляются функциональные возможности системы и технологичность ее проектирования и эксплуатации, что поправу можноотнести к средствам диагностирования на основе использования табличных моделей.

Обьект исследования - цифровые и микропроцессорные устройства пассивного типа с произвольной структурно-функциональной организацией и кх модели автоматного уровня описания.

Цель диссертационной работы - решение научно-технической проблемы создания теоретических основ для единого подхода к компьютерному проектированию диагностического обеспечения

цифровых и микропроцессорных структур с применением двухтактного кубического исчисления, имеющей важное народнохозяйственное значение, связанное с повышением надежности устройств вычислительной техники, эффективности и качества пронессов ее проектирования, производства и эксплуатации.

Для достижения поставленной цели в работе решены задачи: -создания двухтактного кубического исчисления для лроекгировалнятехнологачных, компактных, автоматных, кубических моделей цифровых и микропроцессорных структур, используемых при построении диагностической информации;

-разработки методов логического моделирования исправного поседения н неисправностей на основе аппарата двухтактного кубического исчисления (ДКИ);

-построения алгоритмов генерации тестов для цифровых автоматов, описанных с помощью кубических покрытий (КП);

-проектирования алгоритмов поиска дефектов, использующих результаты моделирования в виде многозначных таблиц неисправностей (МТН) и структуру обьскта диагностирования;

-практической реализации методов и алгоритмов логического анализа на основе аппарата двухтактного кубическою исчисления а рамках системы диагностического обслуживания.

Для решения задач использованы методы исследований с привлечением булевой алгебры, теории множеств и переключательных схем, кубического исчисления, теории автоматов и графов, технической диагностики, логического моделирования.

Научную новизну определяет двухтактное кубическое исчисление и основанные на нем новые методы моделирования, синтеза и анализа тестов, организации и проведения диагностического эксперимента для широкого класса дискретных объектов, позволяющих повысить надежность изделий вычислительной техники,

эффективность и качество процессов ее проектирования, производства и эксплуатации.

В процессе решения упомянутых задач получены результаты, имеюшие практическую значимость и выносимые на защиту:

1.Двухгактнос кубическое исчисление и теоретические основы синтеза ианализаКП на основе многозна'гногоалфшгга, залпенугого относительно теоретико-множественных операций, дающего новые возможности для минимизации проектируемых покрытий цифровых и микропроцессорных устройств, благодаря свойствам и избыточности двухфреймовой символики.

2.Метод композиции-разбиения для проектирования кубических моделей операционных последовательностиых и комбинационных устройств, шинных, МП-структур с применением структуризации таблиц посредством записи частичных отношений переменных с помощью символов ДКИ, дающих возможность совместного компактного описания независимых функций в пределах одного КП.

3.Процедуры построения двухтактных компактных кубических покрытий последовательностных функций, элементов памяти, регистров, счетчиков, управляющих автоматов и микропроцессорных структур для решения задач прямой и обратной импликации. Кубическая форма представления графа для компактного задания структурных и алгоритмических моделей цифровых устройств и автоматов с целью их последующего анализа и решения графо-теоретических задач.

4.Метод синхронного статического событийного моделирования

исправного поведения ЦУ на основе разработанных процедур анализа

КЛ, использующих трехтактную форму автомата моделирования с

целью обработки синхронизированных моделей примитивных элементов

(Г1Э) и пятеричный алфавит идентификации состояния линий. Метод

матричного моделирования неисправностей, использующий структуру

обьсктаи кубические покрытия для избирательного анализа одиночных

константных неисправностей (ОКН) цифровых устройств, имеющий

быстродействие, соизмеримое с моделированием исправного поведения. 6

Метод совместного моделирования одиночных и заказных кратных неисправностей для оценки качества тестон и построения МТН для ЦУ, произвольной функциональной и структурной сложности.

З.Методы и их теоретическое обоснование генерации тестон для цифровых обьеюгов комбинационною и иоследователыюстнош типов с глобальными обратными связями, использующие возможности двухтактного кубического исчисления для построения полного относительно ОКН теста. Метод проектирования тестовдля одиночных неисправностей переходов ЦУ, не модифицирующих пространство состояний автомата, заданного на алгоритмическом уровне описания в виде граф-схем алгоритмов (ГСА), кубических покрытий.

6.Структурно-ориентированные методы проектирования алгоритмов поиска дефектов, использующие сигнатурный анализ, макромодели дефектов, многозначные таблицы неисправностей для поиска одиночных и кратных константных дефектов.

7.0перашюнные средства системы диагностического обслуживания, ориентированные на комплексный подход к сквозному проектированию диагностического обеспечения с функциями: формирования моделей, генерации тестов, моделирования исправного поведения и неисправностей, построения АПД, представляющих практическую реализацию моделей, методов и алгоритмов.

Реализация результатов работы осуществлялась в соответствии с координационными планами АН УССР, Минвузом УССР, АН СССР по проблемам: 1.13.5.6. "Разработать САПР ДО МП и РЭУ", координационный план "Кибернетика" АН УССР и Минвуза УССР на 1986-1990 годы. 5.1."Разработать технические средства для контроля годности МП систем, цифровых БИС и их элементов на базе микроЭВМ", приказ Минвуза СССР №455 от 18.06.86r. ЛЕММА-МСП "Исследование и разработка принципов построения аппаратурных и программных средств гибких производственных систем контроля и диагностики инф[Ю1ЮЙ и цифро-аналоговой аппаратуры", постановление

7

СМ СССР №438 от 03.09.87г. "Применение вычислительной техники в процессах управления, проектирования и научных исследованиях", постановление ГКНТ СССР №573/137 от 10.11.85г. "Программы НИР и организационно-методических работ по информационно-методическому обеспечению высшего образования в условиях многоступенчатости", приказ Минвуза Украины №68 от 31 .03.92 г.

Результаты исследований отражены в двенадцати НИР с участием автора, среди которых наиболее существенными являются: "77-4 "Разработка систем контроля и диагностики устройств базовых комплексов СМ ЭВМ"; 80- 14А"Разработка программного и аппаратного обеспечения для диагностики и преобразования информации в системах малых ЭВМ"; 81-10 "Разработкаавтомалшцювзшюйсистемы контроля и диагностики цифровых устройств"; 81-57 "Разработка и внедрение автоматизированной системы сигнатурного диагностирования цифровых модулей первого уровня"; 86-30 "Разработка гибкой САПР ДО МП У"; 88-06 "Создание и применение САПР ДО МП и РЭУ".

Результаты НИР внедрены на предприятиях Минсудпрома, МОП, MOM, Минэлектротехпрома, Минприбора (НПО "Импульс". НИИ УВМ, НПО ХЭМЗ, ГорПТУПС, НИИРИ, НПО "Гранит"), что подтверждено документами, представленными в Приложении. Совокупная доля экономического эффекта от внедрения исследований автора составляет 520 тыс. рублей в ценах 1991 года. Кроме того, результаты работы используются в настоящее время на предприятиях и в учебном процессе ХТУРЭ.

Апробация результатов исследований осуществлялась на 35

конференциях, совещаниях, семинарах, среди которых: НТС Института

Проблем Моделирования в Энергетике (Киев-1985), НТС лаборатории

П.П.Пархоменко Института Проблем Управления (Москва-1986), НТС

Института Прикладной Математики и Механики (Донецк-1985), НТС

"Техническая диагностика и эффективность систем управления"

Ленинградского отделения научного совета по надежности при отделении 8

"Механика н процессы управления" Академии наук СССР (Ленихрад-1985), Межвузовская школа-семинар "Методы и средства технической диагностики" (Саратов-1981), республикански конференция "Контроль и автоматизированное проектирование монтажа узлов и устройств цифровой аппаратуры" (Каунас-1981), всесоюзный симпозиум "Проектирование систем диагностики" (Ростов-на-Дону-1982,1984), пятое всесоюзное совещание "Техническая диагностика" (Суздаль-

1982), республиканский семинар "Контроль и надежность вычислительных устройства систем" (Винница-1982), республиканская конференция "Машинные методы технического проектирования электронно-вычислительной аппаратуры" (Каунас-1983), всесоюзная научно-техническая конференция "Опыт и проблемы автоматизации контроля, диагностики и сборки в приборостроении" (Новая Каховка-

1983), гсесоюзное совещание по технической диагностике (Ростов-на-Дону-1987), научно-техническая конференция "Повышение эффективности программ них и аппаратных средств контроля и диагностирования ГПС прнборсстроеннл" (Севастополь-1988), республиканская! научно-техническая конференция "Автоматизация контроля вычислительных устройств и систем'' (Киев-Винница-1988), всесоюзная конференция "Теория и практика построения интеллектуальных интегрированных САПР РЭА и БИС" (Звенигород-1989), всесоюзный семинар "Отказоустойчивость вычислительных систем" (Орджоникидзе-Цен-1989), всесоюзная школа-семинар "Диагностик;» мнкроэлектронной аппаратуры" (Харьков-Туапсе-1990), научно-техническая конференция "Диагностика обеспечения РЭА на этапах проектирования и производства" (Севастополь-1990), республиканская конференция "ФОВС" (Алушта-1990,1993), республиканская научно-техническая конференция "Проблемы автоматизации диагностического обеспечения электронных систем" (Виншша-1993), международная школа-семинар "Перспективные системы управления на желе жодорожном, щюмышлениом и городском

9

транспорте" (Алушта-1993,1995).

Результаты (основные) научных исследований отражены в49 печатных работах, среди них 2 аеторекмх свидетельства, 2 монографии, одна из которых - учебник: отмечены Дипломом третьей степени Всесоюзной выставки "Научно-техническое творчество молодежи" (Ленинград-1986), и Второй республиканской премией НИР в области вычислительной техники (Киев-1986).

Диссертация содержит 350 страниц, 66 рисунков, 55 таблиц, включает: введение, 9 разделов, заключение, список использованных источников из 351 наименования, приложение.

СОДЕРЖАНИЕ РАБОТЫ

Введение содержит обоснование актуальности решаемой проблемы, формулировку целей и задач исследования, совокупность научных результатов, выносимых на защиту, сведения об их апробации и практической реализации.

Первый раздел представляет собой анализ развития основных научных направлений технической диагностики, таких как: проектирование моделей цифровых объектов; моделирование неисправностей и исправного поведения; генерация тестов; организация и проведение диагностического эксперимента.

Из трех основных форм описания дискретных обьектов: аналитической, графической, табличной предпочтение отдано последней, которая удобна для восприятия информации человеком, технологична для машинного логического анализа, поскольку содержит явные решения задач прямой и обратной импликации. Единственный недостаток таблиц - размерность устраняется введением избыточности и алфавит описания состояний переменных.

Характеристики средств моделирования неисправностей и

испр«ш;:ого поведения прежде всего определяются формой описания

модели дискретного обьскта. Для получения быстродействующей

системы используются компилятивные (аналитические) модсли-10

программы, процесс составления которых не подлается формализации. Их непосредственное использование для решения задач генерации тестов не всегда возможно, поскольку компилятивные модели ориентированы на выполнение прямой импликации, или другой узкоспециализированной процедуры. Применение интерпрстативных моделей существенно уменьшает быстродействие анализа входных проверяющих последовательностей, поэтому в пользу целесообразности проектированияалгор1ГШОвинтерпретативного моделирования должны быть представлены весомые аргументы. Таковыми могут служить универсальность табличных форм для выполнения прямой и обратной импликации и их функциональные возможности, связанные со значностью моделирования. Расширение алфавита табличного представления фушашй приводит к получению компактных форм, время обработки которых становится соизмеримым с компилятивным анализом. Кроме того, для моделирования неисправностей нет необходимости в дополнительной процедуре генерации списков дефектов, поскольку строки таблицы истинности задают явные системы отношений как одиночных, а при необходимости и кратных неисправностей, подлежащих проверке. Таким образом, табличные модели представляют универсальную форму для реализации дедуктивного, совместного, одиночного алгоритмов в синхронном или асинхронном режимах.

Методы генерации тестов также ориентированы на одну из форм

представления моделей, среди которых можно выделяются три группы:

псевдослучайное тестирование с применением быстродействующих

систем моделирования для оценки качества проверяющих

последовательностей; проверка исправности цифрового объекта путем

достижимости всех устойчивых состояний возможна лишь при наличии

алгоритмического описания; детерминированная генерация

представительной выборки входных воздействий, использующая

методику очувствления логического пути. Возможные пути решения

II

задачи заключаются в расширении значности алфавитов для выполнения прямой и обратной фаз алгоритмов активизации при использовании табличных форм описания функций комбинационных и последовательностных примитивов. Создание всех трех семейств тестовых генераторов в рамках экспертной системы есть идеальный путь решения задачи проектирования проверяющих тестов.

Способы организации и проведения диагностического эксперимента для пассивных ЦУ, как правило, совмещают безусловные и условные (зондовые) алгоритмы поиска дефектов с вычислением очередной точки контроля на основе анализа результатов предыдущих проверок. При этом глубина поиска дефектов, число зондирований и быстродействие являются противоречивыми показателями системы диагностирования. Частичное разрешение упомянутого противоречия возможно при внесении избыточности в диагностическое обеспечение цифрового изделия, которой может служить: граф функционально-гальванических связей, таблица неисправностей, постмоделирование результатов элементарных проверок, логический или физический разрыв глобальных обратных связен.

Создание системы диагностического обслуживания - весьма дорогостоящее и времяемкое мероприятие, результат которого подлежит быстрому моральному старению, благодаря высокой скорости эболющш схемотехнической базы цифровых изделий, технического и системного программного обеспечения. Назтом пути перспективным направлением представляется построение специализированных алгоритмов для обработки отдельных классов дискретных объектов на основе единых структур и баз данных, предполагающих возможность выбора, модификации и расширения операционных средств СДО с преемственностью результатов, полученных ранее.

Второй раздел содержит описание математического аппарата

двухтактного кубического исчисления, разработка которого есть

результат эволюции трех ветвей проектирования диагностической 12

информации (ЦИ): табличных способов представления функций примитивов, методов моделирования неисправностей и исправного поведения синхронных цифровых автоматов, детерминированных алгоритмов генерации тестов наоснове построения путей активизации. Каждый из упомянутых компонентов имеет тенденцию к расширению алфавита описания состояний автоматных переменных в двух соседних тактах. Для проектирования моделей это дает возможность формировать компактные КГ1 операционных устройств, комбинационного и последовательностного типа, графовых структур управляющих автоматов и микропроцессоров. Для алгоритмов моделирования - повышение адекватности анализа логических состояний, технологичность обработки КЛ на основе процедуры объединения пересечений, использование кубического покрытия в качестве модели неисправностей при оценки качества тестов. Для тестовой генерации - гарантированная возможность получения проверяющих последовательностей, компактность записи покрытий активизации, значительно уменьшающих время проектирования теста. Таким образом, ДКИ есть универсальный математический аппарат для описания и анализа операций и структур цифровых и МП-обьектов вентильного, функционального, алгоритмического уровней детализации, использующих автоматную концепцию поведения обьекта. Его универсальность по отношению к выполнению Процедур прямой и обратной импликации не конфликтует с технологичностью восприятия и анализа кубических покрытий компьютером и человеком.

Концепция модели ЦУ определяется функциями переходов, выходов обобщенного модельного (ОМ-) автомага \У-<Х, У, 2,^ £,>, где X, У, Ъ - множеств;! входных, выходных, внутренних переменных:

2(1)=аХ(1-1),Х(1),У(1-1),г<1-1)|; У(0==8(Х(1-1),ХШ,2(1-1),У(1-1)|, ориентированного ни расширение пространства кодирования состояний с целью компактной записи таблицы переходов-выходов ЦУ использованием областей Х(1-1), Уи-1). Для записи таблицы

13

переходов-выходов ОМ-структуры применяется двухфреймовый формат автоматных переменных устройства.

Такое решение определяет необходимость введения двухтактного

алфавита Ах описания изменений автоматных переменных на

естественном (двухфреймовом) формате э1свипотенцнальных линий.

Все возможные двоичные переходы двухтактной переменной в

моменты (1-1,1) представлены универсумом примитивов

У={00,01,10,11}. Определение замкнутого относительно теоретико-

множественных операций алфавита связано со взятием булеана на

универсуме У. Мощность порождаемого таким образом алфавита

равна 2П , где п - количество примитивов в универсуме:

А*={<2-00, Е=01, Н=10, 3=11, 0={р,Н}, 1={Е,-1},

А={р,Е}, Б^СМ}, Р={Е,Н}, С={Е,Н,1},

р={с>,н,1}, ь={д,Е,1{, У=<(З,Б,НЬ у={0,Е,вд,и}.

Символ и - пустое множество (0) служит для замыкания алфавита на

теоретико-множественных операциях, построения систем

доказательств и связей с другими математическими структурами.

Имея множества Ах,А'={0,1,Х,и}(Рота-Миллера), определяющие

состояния переменных в моменты <М,1>, <1>, и в соответствии с

принципом симметрии, вводится алфвнт А0={О,Т,К={О,Т},К},

аналогичный символам А1, но предназначенный для описания

автоматных переменных в момент <1-1 >. Объединяющим форматом

для трех подмножеств служат два временных фрейма <{-1Д>.

Приведение однотактных символов а;°еА0, а^еА1 к двухтактной

форме выполним с помощью символа Ъ, который эквивалентен

пустому множеству и в одном автоматном такте, но "чуть-чуть"

полнее последнего и задает описание переменной в состоянии

высокого импеданса .или высокого выходного сопротивления. С

помощью буквы Ъ форматы однотактных символов принимают вид:

а)°=<а,г>, а;'<г,а>, где а - однотактные булевы состояния из

множества А1=:{0Д,Х={0,1},г}, не связанные со временем. При этом 14

символы пустых множеств на двух автоматных тактах определены в виде: Ы=21(; и=1Ш. Состояние ЪЪ будем считать

неустойчивым пустым множеством, которое на двух автоматных тактах переходит в стабильную "пустоту", определяемую символом и. С учетом приведенных равенств отношения введенных символов пустых множеств регулируются тождествами: ЕоМ^и, 2о N-22-и, 2^0—0, 2^и=2, Г^п^и, Мл-Д^И. Взаимодействие других символов на полученном супералфавите А=(А°,А1,Ах,и} задается теоретико-множественными операциями.

А-алфавит предоставляет новые возможности для минимизации не только функций, но и графовых структур в виде кубической формы представления графа (КФПГ), которая является таблицей, но сокращенной. Каждому символу А-алфавита ставится в соответствие графовый примитив, состоящий не более, чем из двух вершин. Верно и то, что для любого грифа можно синтезировать его покрытие. Для этого каждой вершине присваивается двоичный код. Дуге ставится в соответствие куб КФПГ. получаемый на основе выполнения *-операции конкатенации над разрядами кодов вершин истока 1! стока, которая совместно с графом и шагами проектирования минимальной КФПГ имеет вид:

СМ

Г"

1-1 к

# 0 1 X и г

0 Е А в о

1 н ) В т т

X о 1 У к к

и 0 1 X и г

г 0 1 X N и

00 ЕО

г— I 10

|гт

ю

ОЕ

01

.ЕЕ

Л;

Ы

11

п

а

Минимизация

Душ (шаги)

1 2 3 4

00

03 АЭ

В ЕЯ АЬ

ЕС?

АЕ АЕ IX

ЕЕ

Ю ¡А

)Е 11

}} а

Символика А-алфавита есть основа двухтактного кубического

исчисления - математической структуры для проектирования и

анализа моделей дискретных объектов, включающей теоретико-

множественные координатные и векторные операции: пересечения,

объединения, поглощения, минимизации, выполнение которых для

векторов Е и С), размерности к, где координаты Е^ Сц заданы

символами двухтактного алфавита, определяется выражениями:

В = Е о С = 0, если 3]: (В} = Е-1 о Су = 0) и

В = ЕпС, если V): (В^ — Щг> Су * 0); (пересечение)

В = ЕиС, когда (В} = Е■ ^Су); (обьединение)

Е с С, если ур (Е, о Сц = Е^; (поглощение)

В = ЕиС, когда 3 только один.): (Е] * Су). (минимизация)

Векторные операции используются при анализе кубического

покрытия (КП) примитивного элемента С={С,, С2,..., С{,..., Ск). Куб

С,=(С„, С|2, ..., Су, ...С|п) задает отношения входных, внутренних,

выходных переменных, определяемых символами А-алфавита.

Процедура объединения пересечений для выполнения прямой

импликации есть доопределение состояния невходных переменных

по известным входным, которая включает: задание исходного вектора

моделирования Е=(Ер Е2,..., Е^,..., Еп), входные координаты которого

принимают значения {0,1,Х}, невходные - X; координатное

пересечение вектора Е с каждым кубом покрытия С; обьединение

непустых результатов пересечений, формирующее доопределенный

по выходам вектор моделирования.

Для обработки автоматных (не требующих итераций при анализе)

моделей последовательностных элементов предварительно

выполняется временное сцепление пары соседних исходных векторов

моделирования Е^"1-1 ^Е.1"1 * Е-' при условии, что Е' на невходных

координатах имеет символы X, а первоначальное состояние вектора

1) = X; затем - объединение непустых результатов пересечений

вектора Е1~и с каждым кубом покрытия; а после - их разьединение 16

с целью получения вектора Е1, невходные координаты которого есть искомое решение прямой импликации.

Третрп раздел посвящен методам проектировании моделей операционных устройств комбинационного гипа и микропроцессорных объектов. Основная идея заключается в преобразовании структуры операций в операцию структур или к единому кубическому покрытию устройства или его части. Такое укрупнение позволяет устранить избыточность функций, элементов и линий, спрятат ь в КП "неудобные" для методов анализа структурные организации: сходящиеся разветвления, глобальные обратные связи, шинные формирователи, монтажную логику. Качество модели - полнота ы адекватность логическому поведению обьекта при минимальных объемах структур данных и времени анализа - оценивается с целью выбора оптимальной структуры с помощью информационного, временного, интегрального критериев. Первый учитывает совокупный объем памяти компьютера для хранения структур данных обьекта:

р ч Н =Е К, + I К, + Зч,

И!

где р, я - общее число примитивов в схеме и количество типов ПЭ;

К. (К), ЬГ - число переменных, кубов в текущем элементе.

Критерий Н учитывает возможность хранения в памяти по одному представителю от КП однотипных ПЭ. Следующее выражение оценивает структуры данных с точки зрения быстродействия анализа

ЦУ при выполнении сквозного алгоритма моделирования: р

Т=2 К, N. + Зр.

Третья оценкаявлястся шпефальной и уч!гтывает среднее значение компактности и времени анализа модели: (}=1/2(Н+Т).

Для построения КП по структурно-функциональной модели ЦУ

используется модификация П-ал го ритма, дополненная процедурой минимизации и поглощения на множестве векторов, получаемых после обработки КП очередного примитива, что значительно уменьшает число промежуточных решений, что особенно существенно для уменьшения времени анализа ЦУ со сходящимися разветвлениями. Алгоритм универсален относительно числа выходов схемы, порядка обработки покрытий примитивов и используется для выполнения процедуры обеспечения в методах генерации тестов.

Другое направление проектирования КП связано со структуризацией таблиц при использовании символа Z, который позволяет формировать две или более независимых функции в масштабе переменных одной таблицы путем обозначения несущественных для рассматриваемого отношения выходных координат символами "почти" пустого множества. Например, два ПЭ модели шинного формирователя 589АП26, имеющего входы управления УВ, ВК, четырехразрядные шины А, В, С в соответствии с режимами передачи информации от А к В и от В к С, содержат по восемь кубов в противовес обычным таблицам без использования Z, которые включают по 16 строк.

Особенность проектирования моделей МП определяется

недоступностью структуры функционально-гальванических связей

автоматных переменных. Наличие в РТМ слабоформализованного

описания операционнных устройств и системы команд создает

простор для синтеза различных форм и уровней детализации поведения

МП. Автоматная концепция модели процессора, в сравнении с

уровнем регистровых передач, интересна своей универсальной

возможностью комплексирования с другими цифровыми обьекгами

пассивного типа с целью моделирования исправного состояния,

проектирования тестов и оценки их качества на уровне булевых

переменных. Структура модели процессора включаеттрн компонента:

поле (множество) несвязанных автоматных переменных, обладающих 18

возможностьюсохранения информации; поле независимыхопераций, из которых составляются команды МГ1; орграф управления, процессом преобразования н передачи данных: С=<Сс, Ст, Е>, имеющий два типа вершин-покрытий: управляющих Ссмтерминальных (ТКП) или операционных Ст, соединенных дугами Е, которые задают порядок обработки кубических покрытий управления (КПУ) и операций в зависимости от кода команды и входных данных. Граф (покрытие) управления имеет начальную и конечную вершины, между которыми могут находиться другие КПУ, задающие ветвления или последовательности элементов структуры, включающие ТКП. Единообразие формы и содержания графа управления предполагает использование иерархического подхода к проектированию модели цифрового автомата, процессора, функционально законченного блока.

Проектирование модельной структуры микропроцессора включает процедуры: декомпозиции системы команд на элементарные операции с последующим определением минимального множества максимально длинных во времени или сложных в пространстве операций, представляющих полный базис для построения команд МП; композицию последних из элементов базиса операций, полученных ранее; проектирование терминальных КП операций и покрытий управления для реализации каждой команды на поле автоматных переменных; формирование графа (покрытия) управления МП.

Для процессорной секции К589ИК02 результат проектирования содержит: 20 типов терминальных операций с суммарным обьемом кубических покрытии - 1145 байт; 29 управляющих вершин; 74 автоматных переменных.

Четвертый раздел включает методы построения моделей для типовых последоиатель постных структур,таких кактрштеры, счетчики, регистры, и цифровых автоматов, которые представляют собой экспериментальный полигон устройств, где испытываете« технологичность и э<{х}>екпшность математического аппарата описания

19

и анализа цифровых объектов в концепции автомата Мура, что минимизирует вероятность возникновения состязаний.

Принципы проектирования КП предусматривают: построение автоматной модели, не требующей итераций при ее обработке, в виде совокупности двухтактных кубов, задающих систему устойчивых переходов в алфавите {0,1,X}; наличие цепей синхронизации в КП и режима установки автомата в начальное состояние за конечное число тактов; использование только физически существующих и необходимых для описания данной функции эквипотенциальных линий; структуризацию элементарных функций внутри покрытия для технологичного восприятия пользователем записи КП; применение двух тактов для задания последовательиостной функции на векторе <входных, выходиых> переменных символами А-алфавита, что не ухудшает эргономичности описания* но делает его компактным.

При любом задании исходной структуры процедура построения КП цифрового автомата включает: проектирование таблицы переходов с учетом входов установки и синхронизации; выполнение операции конкатенации соседних состояний входных, внутренних, выходных переменных автомата; . итеративная минимизация числа уже двухтактных кубов. Построение КП ОС-триггера имеет вид:

Этапы получения минимального КП ОС-триггера

№ куба Исходная форма Конкате- Минимизация

1-1 1 1-1 1 м 1 нация Шаг 1 Шаг 2

С с и о 9 С О 9 С о 9 С О 9

1 1 0 1 1 X 1 Н } I Н .1 I Н / I

2 0 0 X X 1 ] 0 У J

3 0 1 X X 1 1 Е У } 1 У I

4 1 1 X X 1 1 I У } I I ч

5 0 0 X X 0 0 9 У 9

6 0 1 X X 0 0 Е У V У

7 I 1 X X 0 0 1 У Я-

8 1 0 0 0 X 0 Н 9 0 н 9 О Н 9 О

Наибольший выигрыш в обьеме памяти предоставляет ДКИ при описании функций инкремента и декремента счетных структур. Исходные таблицы переходов данных операций втрончном алфавите не могут быть минимизированы. Выигрыш в уменьшении обьема двухтаткного КП только одной из упомянутых функций, записанной в А-алфавнте, по сравнению с однотактным табличным покрытием определяется выражением: h=2N+1/N, что для восьмиразрядного счетчика (N=8) составляет 64 раза.

Для регистровых структур интерес представляет формирование двухтактных кубов в описании стандартных функций параллельного занесения, сдвигов влево и вправо. Решение данного вопроса определяется записью частичных отношений с помощью символов, задающих состояние автоматной переменной в момент t высоким импедансом. Кубы параллельного занесения формируют частичные отношения с помощью символов Z (X) на несущественных выходах (входах). Формирование "косого" сдвига (в пространстве и во времени) осуществляется с использованием знаков G=(0Z), T=(IZ) в функциях сдвигов влево и вправо. Например, сдвиг вправо на двух переменных с синхронизацией передним фронтом задается кубами; {EGO, ETI}.

Проектирование модели операционного устройства, включающего операционный и управляющий автоматы, представляет собой частный случай синтеза модели МП-структуры с той лишь разницей, что управляющему автомату соответствует одно КПУ, построенное по граф-схеме алгоритма или по таблице переходов. Покрытие управления регулирует порядок обработки терминальных КП, реализующих функции операционного автомата. КПУ должно иметь входы фронтальной синхронизации и установки ЦА в начальное состояние. При отсутствии рабочего фронта состояние автомата остается неизменным.

ЕдЗДелЛ предлагает методы моделирования исправного состояния и неисправностей наоснове использования двухтактного кубического

21

исчисления и процедуры объединения пересечений, применяемой для анализа КП с целью выполнения прямой импликаций. Метод предоставляет универсальное средство логического синхронного интерпретативного событийного на основе простых итераций моделирования троичных входных последовательностей для определения реакции в виде устойчивых состояний всех эквипотенциальных (автоматных) линий обьекта в пятизначной логике {О, IX,2,и},' где состояние X - неопределенность, которая имеет место благодаря состязаниям, генераторному режиму, наличию троичного слова на входах ПЭ; Ъ - состояние высокого импеданса для шинных структур, монтажной логики; и - идентификатор противоречивости входного слова и системы отношений (КП) для формирования выхода, обозначенного данным символом, появление которого на линии есть следствие неполноты или отсутствия покрытия.

Объект моделирования представлен разнообразием компонентов, включающих: функциональный элемент с сильными связями между входами и выходами, для которого определяется полное КП, задающее все возможные переходы на пространстве входных состояний (логика, сумматоры, коммутаторы, дешифраторы, преобразователи кодов, триггеры, счетчики, управляющие автоматы); многовыходовой ПЭ, содержащий совокупность независимых функций, представленных покрытием строк, формирующих частичные отношения переменных с использованием символов Ъ для определения несущественности формирования выхода на заданных кубах (независимые подсхемы; операции универсальных регистров, шинные формирователи); ПЭ, имеющий двунаправленные линии с функциями входов и выходов, которые при проектировании структур данных схемы, должны быть отнесены ко входным .переменным; структура элементов, имеющих полные КП, выходы которых объединены монтажной логикой И (ИЛИ); совокупность элементов с неполными кубическими покрытиями, формирующими отдельные взаимоисключающие 22

операции, результаты которых определяются посредством анализа всех нагруженных на объединенные с помощью монтажной логики выходы примитивов; функционалы, реализующие арифметические операции при использовании в качестве входов и выходов одни и те же линии, разнесенные во времени.

Многообразие типов примитивов и структурных организаций обусловливает модификацию автомата первого рода к так называемому и-автомату (ишуегеипот) и= <Х,У,Г>, ориентированному на анализ цифрового объекта и определяемому функцией выходов:

У(1+1)={1Х(1-1),Х(1),¥(1)] на множестве входных и выходных (невходных) состояний. В соответствии с формой предложенного автомата задается структура куба покрытия примитива.

Система отношений между входными и выходными переменными конечного автомата определена на трех тактах. Это позволяет создавать модели примитивов, которые смогут модифицировать не только линии У, но и значения переменных X без использования дополнительных псевдопеременных. Отсюда следуют возможности: описания входных условий в двух автоматных тактах для повышения компактности КП; исключения необходимости введения псеадопеременных; записи наличия или отсутствия переднего, заднего фронтов на синхровходах, задаваемых символами {Е,Р,Н,Ь}; формирования минимальных условий по отдельным входным линиям в такте (1-1) или I с помощью {О, !,Х,0,Т,К}; задания условий опасных (корректных) переходов на входных координатах, (не) вызывающих состязаний автомата; описания устойчивого перехода автомата на физически существующих выходных переменных в тактах I и 1+1; формирования отношений для функций, которые используют одни и тс же физические линии в качестве входных и выходных переменных {А=А+1, А=А-Ц.

Цифровое устройство, использующее структуру О-автомата

23

адекватно моделируется в трех автоматных тактах <t-l, t, t+l> в соответствии с определением S-автомата (Simulation) функцией выходов: Y(t+l)=flX(t-l),X(t)»Y(t-l),Y(t)j', где Y(t+1) - поле модификации входных или выходных координат. Основное назначение S-структуры состоит в определении поведения ЦУ на множестве переменных схемы, которая имеет глобальные обратные связи, двунаправленные линии, элементы памяти, фронтальную синхронизацию, в том числе и внутри схемы, в форме изменения логического состояния переменной в тактах (bl,t).

Моделирование исправного поведения представляет собой частный случай, но основу анализа одиночных константных неисправностей. Для определения влияния дефектов натехническое состояние обьекта используются модели исправного поведения примитивов, представленные покрытиями, считая, что каждая ОКН не изменяет пространства состояний входных, внутренних, выходных переменных автомата, а куб покрытия есть совокупность одиночных константных дефектов, формирующих отношения неисправностей на векторе существенных координат. На этой основе предлагаются алгоритмы матричного и совместного одиночного моделирования дефектов. В первом используется анализ покрытия, который позволяет определять множество собственных неисправностей, проверяемых на тестовом наборе, в соответствии со следующим правилом, а также совокупность дефектов-предшественников, транспортируемых на выходы Г1Э. Неисправность Су={0,1} линии ПЭ, определяемая j-той координатой i-того куба покрытия проверяется двоичным вектором моделирования, если при его пересечении с кубом i существует пустой результат только по координате] и хотя бы по одной наблюдаемой (выходной) координате.

Сложность реализации неодиночных методов моделирования ОКН

связана с анализом псевдократных дефектов, возникающих на входах

ПЭ в результате наличия в схеме сходящихся разветвлений. Для 24

решения данной задачи используется граф функционально-гальванических связей линий обьекта, представленный в виде совмещенной матрицы досткжимостсй-смсжностей. Избыточность представления компенсируется технологичностью вычисления кратности и входов ПЭ для транспортирования дефекта на выходы, быстродействием выполнения алгоритма, определяемого формулой:

Тм=0,9 *2к,*м, + Ко*, (И1,Р), где 0,9 - обобщенный коэффициент, учитывающий событийность и число итераций при обработки ПЭ, связанных с наличием кратных дефектов на входах элементов. Остальная часть выражения определяет затраты сквозного моделирования р примитивов, каждый из которых имеет размер КП, равный К^,2 - затраты формирования

квадратичной матрицы вектора эквипотенциальных линий схемы.

Алгоритм одиночного совместного моделирования предназначен для безусловного анализа ОКН и кратных дефектов, задаваемых пользователем, в цифровых устройствах произвольной функциональной и структурной сложности. Платой за такую универсальность является невысокое быстродействие и использование больших массивов для хранения промежуточных результатов. Алгоритм, учитывающий влияние каждой ОКН не только в пространстве эквипотенциальных линий обьекта, но и на временном континууме имеет быстродействие:

Т0= (0,3+0,05* Ь)* I (1=1,р), что для реальных устройств, содержащих до 500 линий, вполне приемлемо по затратам времени, которые составляют 25 временных интервалов моделирования исправной работы.

Достоинства метода определяются: высокой технологичностью проектирования программных средств; отсутствием операций над списками; ненужностью знания схемной структуры и ее анализа; фиксированным объемом памяти при работе алгоритма; возможностью

25

моделирования одиночных и .кратных константных дефектов; получением адекватной многозначной таблицы неисправностей последовательностиых и микропроцессорных структур, вентильного, функционального, алгоритмического уровней детализации с триггерными, регистровыми, счетными примитивами.

Раздел 6 Посвяшен методам проектирования проверяющих тестов для ЦУ на основе использования ДКИ, которое позволяет компактно записывать покрытия полные активизации для выполнения очувствления логических путей. Полное КП активизации п-входового логического элемента содержит п кубов, что дает выигрыш в уменьшении обьемаанализируемого КП по сравнениюсО-покрытием Рота в 2п-1/п. Такое соотношение существенно повышает быстродействие алгоритма построения путей активизации. Реализация процедуры обеспечения построенного очувствленного пути основывается на использовании 3(п+1) кубовдля п-входового элемента логического базиса, которые должны обеспечивать обратную импликацию всех девяти символов {0^,Е,Н,О,1,А,В,У}, получаемых при выполнении конкатенации троичных векторов. Таким образом, кубический (К-)алгоритм, использующий двухтактные покрытия активизации и обеспечения, выполняет построение пути активизации минимальной мерности за одну итерацию процедур прямой иобратной многовариантной импликации или определяет невозможность получения такого пути. Для нензбыточной КС произвольной структуры алгоритм обеспечивает построение одномерного пути активизации, проходящего через любой вход примитива. Для избыточной КС произвольной структуры существует путь активизации, который может быть построен с помощью К-алгоритма, проходящий через существенный вход примитива.

Поскольку в примитивах схемы отсутствует избыточность, то через любой его вход можно построить путь активизации при выполнении прямой фазы (кроме У=£{0,1}). Структурная реализация 26

функции может иметь несущественность терма или переменной. Если вход примитива принадлежит избыточному элементу, на обратной фазе будет построен неодномерный путь активизации, проходящий через избыточный и неизбыточный примитивы. Для симметричной избыточности схемной реализации функции, когда имеются не менее двух одинаковых термов, мерность пути активизации определяется количеством ПЭ симметричной избыточности.

Прямая и обратная фазы К-алгоритма включают процедуры: присвоение входу ] символа активности Е(Н); прямая импликация чувствительности через очередной ПЭ-преемник, при соблюдении стратегии выбора очередного пути активизации с целью получения минимального множества одномерных путей, покрывающих все эквипотенциальные линии обьекта; обратная фаза заключается в последовательном доопределении состояния входов по значениям выходов каждого примитива-предшественника, полученным при выполнении прямой фазы, с целью формирования множества непротиворечивых двухтактных векторов, которые после обработки очередного ПЭ анализируются на возможность та минимизации и поглощения, что значительно уменьшает число промежуточных решений при наличии сходящихся разветвлений; разьединение двухтактных входных наборов на однотактные с последующим доопределением символов X и оценкой качества построенного теста для получения минимального набора входных проверяющих последовательностей и таблицы неисправностей. Затраты выполнения обратной импликации при построении теста определяются выражением:

<1 Р-Ч]

где <1 - минимальное число одномерных путей, покрывающих все

линии схемы; - количество ПЭ, имеющих активные входы для )-того одномерного активного пути, 1 выражение (Ц^-Ц.^Г^и) - определяет уменьшение числа кубов покрытия схемы, полученного на предыдущем шаге после пересечения с КП (¡- 1)-го ПЭ, связанного с противоречивостью, поглощением и минимизацией векторов. Последние операции являются определяющими в оценке быстродействия алгоритмов, преобразующие экспоненциальный характер функции вычислительных затрат в полиномиальный, ориентированные на технологичную обработку традиционно "неудобных" структур со сходящимися разветвлениями.

Седьмой раздел посвящен проектированию тестов для последовательностных асинхронных схем и синхронных цифровых автоматов. В первом случае речь идет об использовании К-алгоритма для активизации одномерных путей в цифровых устройствах с глобальными обратными связями (ГОС). Прямая фаза остается бет изменений. Выполнение обратной связано с импликацией не только в пространстве, но и ьо времени. Система переходов ЦА в общем случае может не обеспечивать возможности построения активного пути ь двух соседних тактах. Однако, изменения автоматных переменных, предложенные прямой фазой, могут быть получены через один два или более временных интервалов. Для этого двумерный вектор активизации Е раскладывается на однотактные (Е'"',Е1), после чего решается задача установки для вектора Е' с использованием процедур модифицированного П-алгоритма. В каждом временном фрейме; к=(1, 1-1, 1-2, 1-3 ...) выполняется анализ полученного промежуточного решения на отсутствие значащих символов Еы={0,1} на линиях, охваченных глобальными обратными связями, которые являются условиями для перехода в текущее состояние. Иначе, решение задачи установки считается положительным, если на одном из временных тактов к безусловно достигнуто требуемое состояние Ек, приводящее за конечное число фреймов к Е1, с помощью входных 28

слов (Хк, Хк+1, .,., X'"1 , X') независимо от значений линий Ек1, охваченных глобальными обратными связями. Фактически при выполнении обратной импликации допустимы варианты: не существует решения для построенного в прямой фазе вектора активизации; для векторов Е'"1, Е' установка осуществленаза конечное число фреймов к=3, 4, 5, ...; решение получено на временных тактах 1-1,1, что является идеальным вариантом и соответствует выполнению обратной импликации как для комбинационной схемы. Для реальных асинхронных последовательностных устройств двухфреймовая активизация покрывает более 70% эквипотенциальных линий.

При использовании в качестве примитивов схемы автоматных моделей трштерных, счетных, регистровых структур построение одномерного пути активизации - выполнение прямой фазы К-алгоритма в двух соседних тактах часто бывает невозможным. Кроме того, отличием сильнопоследовательностных схем следует считать небольшое число входов управления по отношению к выходным и внутренним линиям и наличие сильной связности упомянутых переменных. Сказанное полагает практическую нецелесообразность выполнения фазы 1, сводя К-алгоритм к раздельному выполнению в пространстве и во времени обратной импликации однотактных составляющих символов активизации, априорно задаваемых на каждом наблюдаемом выходе цифрового устройства. Процедура не гарантирует построение полного теста относительно ОКН, а поэтому нуждается в последующем моделировании неисправностей с целью оценки качества теста и достроения его до полного.

Другое решение задачи проектирования теста для цифрового автомата связано с наличием алгоритмического описания его поведения в виде таблицы или графа переходов. Это характерно прежде всего для управляющего автомата (УА), схемотехническая реализация которого есть совокупность взаимосвязанных между собой элементов памяти и функций возбуждения. Построение теста

29

относительно ОКН для такого блока представляет проблему. Укрупнение логической модели дефектов есть одно из перспективных направлений тестирования цифрового автомата алгоритмического уровня детализации. Одиночной неисправностью перехода (ОНП) Рц/Р)г (1 - исходное состояние автомата; ], г — конечное состояние при отсутствии и наличии неисправности соответственно) называется техническое состояние обьекта, при котором вместо перехода Ру на заданном входном слове выполняется любой разрешенный для данного автомата переход Р-г. Порождение кратных дефектов, определяемых суммой всех возможных сочетаний на подмножестве п только неисправных переходов для каждой вершины-состояния: Сп'+Сп2+Ск3+ ... + Спп, есть неподъемное количество дефектов для их анализа с целью определения проверяющих способностей тестовых наборов. Кроме того, для функционально сложных устройств, не имеющих структуры примитивов, введение макродефектов алгоритмического описания бывает единственной возможностью верификации тестовых последовательностей при создании диагностического обеспечения цифрового обьекта.

Считая, что все состояния Р=(РХ.....Р4,...,РП) и переходы Рц (1=1,а;

.¡=1,к) конечного автомата наблюдаемы и различимы, пустое

пересечение двух любых состояний или переходов является условием

проверки ОНП: Р^п Рк=0 Если автомат находится в исправном

переходе Р-, это значит, что неисправности Р(с (е= 1 ,к; е^) проверяются

тестом, который обеспечивает переход Ру. Естественно, что любой

другой тест, инициирующий переход Р1е (е?у), проверяет неисправность

Ру. Следовательно, для проверки всех ОНП, исходящих из состояния

Рр необходимы два тестовых набора, которые обеспечивают переходы

в различные состояния ..автомага. Если последний реализован по

синхронной модели Мура, то для каждого состояния существует

входной набор, сохраняющий значения автоматных переменных,

включение которого в проверяющие последовательности есть вторая 30

составляющая процедуры построения теста для ОНП автомата: для получения полного теста необходимо выполнить обход всех состояний автомата, побывав в каждом по одному разу и сохранив его, при этом необходимо вернуться в начальную вершину обхода. Если состояния не наблюдаемы, проектирование теста дополняется процедурой сканирования (транспортирования) значений автоматных переменных к выходным наблюдаемым линиям. Для оценки качества тестовых векторов относительно ОНП реализованы средства моделирования, использующие в модель цифрового автомата в виде КП алгоритма функционирования.

Раздел 8 содержитописание методов диагностирования дискретных объектов, использующих структуру функционально гальванических связей эквипотенциальных линий. В основу первого положен анализ многозначной таблицы неисправностей (МТН), координаты которой заданы в алфавите {0,1,Х={0,1}, и=0}, являющейся результатом работы средств моделирования ОКН. Размеры МТН определяются произведением длины теста к на число п автоматных переменных ЦУ (обьем классической ТН равен 2кп).

Диагностический эксперимент дифференцируется в два независимых подхода, определяемых наличием в обьекте одиночного или кратного константного дефекта.

Множество подозреваемых дефектов в схеме при наличии МТН 0=||0и11 и матрицы достижимостей М=|1М^,|1 графа функционально-гальванических связей для заданной матрицы экспериментальной проверки \М I Уу|!, (¡=1,к- длина теста; 1=1,п-число линий;]=1,т- число выходов ЦУ) определяется выражениями: 1) при существовании одиночного дефекта -

к к 05=( о (Ц п ( и М}))) \ (и (Ц о ( ~ (и Мр)));

¡=1 И! =

2) при существовании кратного дефекта

0«»=( и (Ого ( о М;)))\(и(0,и(-(и М^))).

¡«1 1) ЧК^-П

Упомянутые процедуры диагностирования одиночных и кратных константных дефектов определяют основу структурного алгоритма анализа МТН, после выполнения которого осуществляется формирование взаимно-противоречивых групп дефектов для их последующего зовдового анализа. Метод ориентирован на поиск одиночных и кратных константныхдефсктов в цифровых устройствах произвольной структурной и функциональной сложности с приемлемыми объемами исходной диагностической информации для схем, содержащих до 500 линий и 256 входо-выходов.

Дополнением к описанному алгоритму может служить граф-

метод поиска дефектов, использующий идею обратного

прослеживания макронеисправности по структуре объекта, которая

распознается при последовательном выполнении проверок линий

логического пути автоматическим зондом. Диагностическая модель

устройства представлена графом функционально-гальванических

связей контактов микросхем и цифрового устройства. Для построения

алгоритма диагностирования необходимы: полный проверяющий

тест относительно одиночных константных неисправностей,

эталонные сигнатуры всех внешних контактов микросхем и ТЭЗа,

структурная схема ЦУ. С целью приближения моделей неисправностей

к реальным используются типы состояний объекта: Р={Рй> Б,, Р3,

где Ро * исправное состояние объекта; Р, - отсутствие

гальванической связи между контактами различных микросхем; Р2-

неисправность на выходе элемента или внутри него; Р3 - отсугствие

связи между входом и шиной константы 0,1; Р4 - дефект внешнего

входного контакта ЦУ; - неисправность выходов, объединенных в

монтажную логику; Р6 - дефект, циркулирующий в контуре РОС. 32

к

к

Таблица графа поиска дефектов задана в формате <координата точки контроля, следующие точки контроля при положительном и отрицательном результатах проверки, эталонная сигнатура> и имеет число строк, равное количеству наблюдаемых контактов, позволяя осуществлять диагностический эксперимент в диалоговом режиме без наличия принципиальной электрической схемы объекта. Метод ориентирован на ЦУ произвольной функциональной сложности с псевдоразрывом глобальных обратных связей.

Наличие структуры объекта в виде матрицы достижимостей М= |Ц, ((={0,1} эквипотенциальных линий позволяет проектировать квазиоптимальные алгоритмы в виде взвешенного дерева поиска дефектов в неисправных примитивах или конструктивах методом половинного деления подозреваемой области, когда выбор очередной точки контроля осуществляется на основе вычисления минимума функции предпочтения:

f= min, I (Dj.i А Mj -1)-(D.„, /2) |}

где Ом - вектор подозреваемых дефектов, полученный после зондирования предыдущей координаты 5-1; М.- строка матрицы достижимостей. При этом суммарные затраты на создание бинарного дерева поиска дефектов, отличающегося от оптимального не более чем на 15%, в зависимости от числа линий обьекта п определяются выражением: С = (In-i), при (i=0,n-l). Критерий минимакса - самая длинная ветвь дерева вычисляется коньюнкцией вершин: R(D(Hj)j= Л Hj [max {d+|,d- (- где - разбиение линий для точки Н,,

D* - область исправных линий; D'( - область существования дефекта.

Раздел 9 описывает инструментальные средства системы

диагностического обслуживания цифровых и микропроцессорных

структур, включающие программные средства проектирования

моделей дискретных обьсктов и их компонентов, моделирования

неисправностей и исправного поведения, генерации тестов,

проектирования алгоритмов поиска дефектов. Отличительные

33

особенности СДО: ориентация на решение практических задач диагностического обслуживания цифровых объектов на этапе их проектирования; высокая технологичность разработанных алгоритмов, обусловленная использованием двухтактного кубического исчисления для представления и анализа функций цифровых обьектов; непрерывная модификация и пополнение операционных и управляющих средств с целью качественного эволюционного преобразования СДО в экспертную систему.

Лингвистическое обеспечение представлено языками: описания диагностической информации, цифровых устройств, примитивных элементов, программирования. При задании топологии устройства используется внутренний язык СДО, графический интерфейс системы PCAD, модуль проектирования графического автономного изображения системы LSS. Комплексирование языков описания ЦУ осуществляется на основе единых структур данных СДО и системы трансляторов, позволяющих выполнять преобразование исходного формата схемного описания в массивы СДО.

Программное обеспечение СДО реализовано в операционной

среде MS DOS в виде 12 загрузочных модулей, составляющих 10

тыс. строк языков Си, Паскаль, работающих с минимальной ОП

V- 512+ 10k (к - число линий в схеме) Кбайт. Комплексирование

СДО с лучшими операционными средствами для решения

аналогичных задач на основе создания программных средств

сопряжения, буферной базы данных, трансляторов повышает

возможности интегрированной системы и делает ее адаптивной к

конструктивным и функциональным изменениям элементной

базы обрабатываемых цифровых и микропроцессорных структур.

Помимо многоканального сигнатурного анализатора "САНДРА"

(ХТУРЭ), СДО адаптирована по данным с устройствами

диагностирования КД-1 (НИИУВМ, г.Северодонецк), РМД-6

(НПО "Гранит", г.С.-Петербург). Создание дружественного 34

интерфейса облегчает работу пользователя с помощью меню-интервью, статус-строки, активизации подсказки, мнемонических и схемотехнических изображений.

Эффективность СДО определяется совокупностью временных и материальных затрат проектирования диагностической информации ЦУ. Уступая в быстродействии алгоритмов моделирования своим специализированным аналогам, СДО выигрывает в совокупном времени обработки ЦУ, благодаря наличию детерминированной системы генерации тестов, простым и эффективным средствам ввода и верификации моделей примитивов. Кроме того, при сквозном и комплексном подходе к решению задач диагностического обслуживания не имеет большого значения быстродействие моделирующей подсистемы (тысяча или сто тысяч наборов в секунду), поскольку основные затраты (95%) приходятся на проектирование, верификацию модели ЦУ и генерацию тестов. Подтверждением этому служат затраты этапов диагностического обслуживания при обработке реальных ЦУ высококвалифицированным пользователем:

Название блока 1 Параметры объекта диагностирования Параметры теста Затраты тфоектпротштя

Число Ш!КрО схем Чиста типов нмс К-во Л1ШИ К-во гос Длина тсстп Качество теста модели ЦУ (час) теста (мин) Моа-с теста (сек) Мод-с жфек. (сек) АПД (сек) ~30~1

1.БСД-25 36 8 241 2 297 98 6 86 8 50

2.БГ-12 4! 10 161 - 201 93 7 5 4 30 20

З.БСВ-ЗЗ 35 9 212 2 401 96 6 21 8 30 35

4.БПИ-3 46 26 195 - 500 92 8 168 10 72 45

5.БГФ-5 53 14 252 6 519 95 9 172 8 84 44

6.БУ-182 33 17 178 3 194 93 5 33 б 34 30

7.ПГГД-8 48 33 180 - 366 91 8 58 4 38 23

8.БСД-24 47 14 229 2 468 95 Я 44 2 66 37

9.БР-11 51 7 421 - 732 90 9 54 8 121 57

10.БСЧ-3 45 9 285 2 512 92 7 94 8 83 48

11.БТР-4 76 12 211 - 188 93 И 234 6 47

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

определяются разработкой двухтактного кубического исчисления, как технологичного математического аппарата автоматного представления моделей цифровых и микропроцессорных структур для решения задач дюделирования, синтеза и анализа тесто«, организации и проведения диагностического эксперимента, позволяющих пошлеть надежность изделий вычислительном техники, эффективность!! качество процессов ее проектирования, производства и эксплуатации.

При решении комплекса упомянутых задач получены результаты:

1.Двухтактное кубическое исчисление и теоретические основы синтеза и анализа КП на основе 23-х символьного алфавита, дающего новые возможности для минимизации КП ЦУ и микропроцессорных структур, благодаря свойствам и избыточности алфавита.

2.Метод композиции-разбиения для проектирования автоматных кубических моделей операционных устройств для шинных, МП-структур с применением метода структуризации таблиц посредством записи частичных отношений переменных с помощью символов ДКИ, дающих возможность совместного компакт ¡'.ого описаний независимых функций в пределах одного КГ1.

3.Процедуры построения двухтактных КП последовательностных функций, элементов памяти, регистров, счетчиков, управляющих автоматов и микропроцессорных структур для решения задач прямой и обратной импликации. Кубическая форма представления графа для компактной записи структурных и алгоритмических моделей цифровых автоматов и их последующего анализа.

4.Метод синхронного статического событийного моделирования исправного поведения ЦУ на основе разработанных процедур анализа КП, позволяющих использовать трехтактную форму автомага моделирования для обработки синхронизированных моделей ПЭ и

пятеричный алфавит идентификации состояния линий. Метод матричного моделирования ОКН, использующий структуру обьекта и КПдля избирательного анализадефектов ЦУ. имеющий быстродействие, соизмеримое с исправным моделированием. Метод одиночного моделирования неисправностей константного типа, включая и заказные кратные, для построения многозначных таблиц неисправностей ЦУ произвольной функциональной и структурной сложности.

З.Теоретические основы и алгоритмы генерации тестов цифровых обьектов, использующие возможности двухтактного кубического исчисления для проектирования полного относительно ОКН теста, Метод проектирования тестов для одиночных неисправностей переходов цифровых обьектов, не модифицирующих пространство состояний автомата, заданного на алгоритмическом уровне описания в виде граф-схем алгоритмов или кубических покрытий.

б.Струкгурно-ориентироваиныс методы проектирования алгоритмов поиска дефектов, использующие сигнатурный анализ, макромодели дефектов, многозначные таблицы неисправностей и подграфы функционально-гальванических связей для оптимизированного поиска одиночных и кратных константных дефектов.

7.Операционные средства системы диагностического обслуживания, ориентированные на комплексный подход к сквозному проектированию диагностической информации с функциями: формирования моделей, генерации тестов, моделирования исправного поведения и неисправностей, построения АПД, представляющих практическую реализацию разработанных моделей, методов и алгоритмов.

Содержание диссертации изложено в работах ЬХаханов В.И. Техническая диагностика цифровых и микропроцессорных структур: Учебник/ {БВЫ 5-7763-2645-1.- К.: ИСИО, 1995.- 242с.

2.Хаханов В.И., Кривуля Г.Ф. Логическое моделирование цифровых устройств,- К.: УМК ВО, 1989.- 148с.

3.Кривуля Г.Ф., Кизуб В.А., Хаханов В.И. и др. Система диагностического обслуживания цифровых объектов ДИАНА/ Информационный листок.- X.; Облполиграфнздат, 1988.-2с.

4.Кривуля Г.Ф., Хаханов В.И., Русгпшов ВА Программные средства системы диагностирования цифровых блоков//Информационный листок о научн.-технич. достнж.-Х.:ЦНТИ.-1983.-4с.

5.Кривуля Г.Ф., Хаханов В.И., Тыдыков В.П. Проектирование систем диапюстического обслуживанш!//АСУ и приборы автоматикн.-Изд-во Харьк. ун-та,- 1989,- Вып.87.- С.87-93.

6.Хаханов В.И., Ткачснко Л.В. Граф-метод поиска дефектов. АСУ и приборы автоматики// Изд-во Харьк. ун-та.- 1986,- Вып. 79.- С.73-80.

7.Кривуля Г.ф, Рустлнов В.А., Хаханов В.И. Динамическое тестирование цифровых блоков на основе сигнатурного анализа// Методы и системы технической диагностики,- Изд-во Сарат. ун-та,-1981.- Вып.2,- С.49-53.

8.Кривуля Г.Ф., Хаханов В.И., Шкиль A.C. Построение полного минимального контролирующего теста для послсдовательностных схем//АСУ и приборы автоматики.- Изд-во Харьк. ун-та.- 1982.-Вып.62,- С,64-71.

9.ШкильАС., Рустшюв ВА, Хаханов В.И. ПрименениеД-исчцсления при построении тестов для последовательностных счетных crpyicryp// Автомазика и вычислительная техниш,- 1983.- №4.- С.59-63.

Ю.Кривуля Г.Ф., Кизуб В .А., Коновалов В.Б., Хаханов В.И. Автоматизированная система диагностирования цифровых модулей/ /Электронное моделирование,- 1987.- №2,- С.57-61.

Н.Хаханов В.И., Парафило О.В. Анализ автоматных моделей цифровых устройств// АСУ и приборы автоматики. Изд-во Харьк. ун-та.- 1988,- Вып. 85.- С:49-53.

12.Рустинов В.А., Хаханов В.И., Шкиль A.C. Модели функционального уровня интегральных схем// Вопросы технической

диагностики.- Ростов-на-Дону; РИСИ.- 1987,- С.35-39.

13.Бондаренко М.Ф., Немченко В. П., Хаханов В.И. Анализ переходных процессов в системе диагностирования дискретны* обьсктов//Вопросы технической диагностики.-Ростов-на-Дону: РИСИ.-1983.-С.84-89.

И.Хаханов В.И., Сидоренко Т.В. Математический аппарат описания автоматных моделей// АСУ и приборы автоматики. Изд-во Харьк. ун-та.- 1987.- Вып. 81.- С.69-73.

15.Бондаренко М.Ф., Кривуля Г.Ф., Хаханов В.И., Шкиль A.C. Моделирование комбинационных схем в К-значном алфавите средствами алгебры конечных предикатов// АСУ и приборы автоматики.- Изд-во Харьк. ун-та.- 1982.- Вып.64.- C.114-I21.

16.Хаханов В.И., Шкиль A.C. Троичное моделирование цифровых устройств с использованием языка кубических комплексов// АСУ и приборы автоматики.- Изд-во Харьк. ун-та, 1983.- Вып.бб.- С.59-65.

17.Хаханов В.И., Рустинов В.А., Шкиль A.C. Анализ переходных процессов в дискретных устройствах// АСУ и приборы а&гоматики.-Изд-во Харьк. ун-та.- 1983,- Вып.68.- С.35-39.

18.Хахлнов В.И., Кривря Г.Ф., Кизуб ВА, Тьщыков В.П. Устройство для моделирования конечных автоматов/ АС.№ 1520534 от 07.11.89.-Бюллстень № 41.- 14с.

19.Какурин Н.Я., Хаханов В.И., Лобода В.Г., Какурина А.Н. Регистр сдвига/ A.C. № 1439682 от 22.07.88.- 4с.

20.Хаханов В.И. Зондовый метод поиска дефектов в цифровых блоках/ Деп. в ВИНИТИ Харьк. ин-том радиоэлектрон, №5840-82.-Х.:ХИРЭ, 1982.- 1бс.

21.Городецкий В.Э., Хаханов В.И. Автоматизация тестового диагностирования цифровых ячеек управления тиристорными преобразователями/Деп. в ВИНИТИ Харьк. ин-том радиоэлектрон. №5961-82.-Х.:ХИРЭ, 1982,- 11с.

22.Хаханов В.И., Шкиль A.C. Д-метод построения тестов диагностирования/ Деп, в ВИНИТИ Харьк. ин-том радиоэлектрон. №2344-82.- Х.сХИРЭ, 1982.- 6с.

23.Хаханов В.И., Ефименко Л.Г. Генерация теста по кубическим покрытиям цифрового устройства в системе диагностического обслуживания/ Деп. вУкрНИИНТИ Харьк. ин-том радиоэлектрон. №1324Ук-89 от 22.05.89.- X.: ХИРЭ, 1989.- 18с.

24.Кривуля Г.Ф., Хаханов В.И., Рустинов В.А. Комбинированный метод построения тестов/ Деп. в УкрНИИНТИ Харьк, ии-том радиоэлектрон. №233Ук-Д83,- X.: ХИРЭ, 1983. -12с.

25.Хаханов В.И. Элементы анализа моделей дискретных устройств/ Дел. в УкрНИИНТИ Харьк. ин-том радноэлектрон.- №219Ук-85Деп. Х..ХИРЭ, 1985,- 18с.

26.Хаханов В.И., Ефименко Л.Г. Проектирование моделей цифровых устройств для системы диагностического обслуживания/Деп. в УкрНИИНТИ Харьк. ия-т радиоалек1рон.-№2068Ук-89 от 21.09.89.- 23с.

Материалы конференций

27.Рустшю8 ВА, Хаханов В.И., Шкнль А.С. Ат-оштшироъанная система диагностического матобеспечения 'для дискретных устройств. Диагностика неисправностей ущюпсгь ролешюй занцгш и акгомат. алектрмч. сист./Дез. докл. респ. конф. Жданов: ЖдМИ.-!982.-С. 106-108.

28.Хаханов В.И., Рустинов В.А. Логическое моделирование неисправностей дли системы сигнатурного анализа. All РЗА// Тез. докл. респ. конф. Каунас: КПИ.- 19В2.-С. 147-48.

29.Немченко В. П., Рустинов В А, Хаханов В.И. и др. Программные и аппаратурные средства автоматизированной системы диашострования цифровых олоков/Дездоки. 5-го Всесоюзн. совещ. по технической ди;шюстике.-Суздаль.-1982.-М.: ИПУ.-1982.- C.143-I45.

30.Хаханов В.И., Ефименко Л.Г. Мат ематический аппарат системы диагностического обслуживания// Проблемы диагностирования микропроцессорных систем.-Тез. докл. респ. конф. Ужгород,- 1987.-К.. ИПМЭ,- 1987.- С.33-35.

31.Хаханов В.И. Диалоговое моделирование микропроцессорных структур// Тез. докл. всесокин. конф. Разработка и оптимизация САПРи ГАП изделий электронной техники.- Воронеж :ВПИ.- 1985.40

С.37-38.

32.Хаханов В.И., Максимова Н.Г. Управляемое моделирование микропроцессорных устройств//Тез. докл. респ. конф. Автоматизация контроля вычислительных устройств и систем.-Винница,- 1988.-К.:ИПМЭ,- i988.- С.47.

33.Хаханов В.И., Максимова Н.Г. Моделирование БИС по кубическим покрытиям. Тез. докл. респ. конф. Функционально-ориентированные вычислительные системы.- Алушта.- Х.:ХПИ.-1990,- 85с.

34.Хаханов В.И., Загороднюк В.В. Кубическое представление микропроцессорных устройств//Тсз. докл. всесоюзн. школы-семинара Диагностика микроэлектронн. аппаратуры.-Туапсе.-Х.:ХИРЭ.-1990,-С.9.

35.Хаханов В.И., Максимова Н.Г. Система моделирования цифровых объектов для персональных ЭВМ// Тез. докл.респ. конф. Информационное и программное обеспечение САПР.- Ужгород: РДНТП.- 1990. - С.37-38.

36.Хаханов В.И. Использование двухтактного кубического исчисления в САПР цифровых обьекгов//Теэ. докл. всесоюзн. совещания молодых ученых и специалистов.-Воронеж: ВПИ.-1989.-С.34-35.

37.Хаханов В.И., Бендиков A.B., Чутуров И.Н. Система логического анализа цифровых объектов// Тез. доки. межд. научн. -техн. конф. Функционально-ориентированные вычислительные системы,- Киев, Харьков, Алушта.- X.: ХПИ.- 1993.- С.ЗЗ.

38.Хаханов В.И., Монжаренко И.В., Ковалев Е.В. Система компьютерного моделирования цифровых и МП-структур// Материалы 8-й Международной шк.-семннара "Перспективные системы управления на жел.-дор., промышленном и городском транспорте.- Алушта,- 1995.- X.: ХарГАЖТ.- 1995,- С.26.

39.Хаханов В.И., Бендиков A.B., Монжаренко И.В. Модели микропроцессорных структур для проектирования тестов и оценки

их качества// Тез. докл. 2-й техн. конф. стран СНГ: Контроль и управление в технических системах.- Винница: ВПИ.- 1993,- С.111.

40.Хаханов В.И., Максимова Н.Г., Бендиков A.B. Оптимизация проектирования моделей цифровых обьектов//Тез. докл. всесоюзн. школы - семинара Диагностика микроэлектронной агшаратуры.-Туапсе.- Х..ХИРЭ.- 1990,- С.8.

41.Хаханов В.И., Кривуля Г.Ф. Проектирование тестов в двухтактном кубическом исчислении// Тез. докл. междумродн. научн.-техн. конф. Ф0ВС-93.- Киев, Харьков, Алушта.- X.: ХПИ.-1993.- С.28.

42.Кривуля Г.Ф., Хаханов В.И., Шкиль A.C. Комплексирование подсистемы САПР для радиоэлектронных устройств на основе единой базы данных// Тез. докл. респ. конф. Повышение эффективности программных и аппаратных средств контроля и диагностирования в ГПС приборостроения.- Л.: Судостроение.-1988.- С.45-47.

43.Хаханов В.И., Иванов В.И. и др. Проектирование системы диагностического обслуживания// Тез. докл. всесоюзн. совещ. по технич. диагностике,- Ростов-на Дону.- 1987.- М.:ИПУ.- 1987.-'с.47-49.

44.Кривуля Г.Ф., Хаханов В.И. и др. Автоматизированные системы диагностирования микропроцессорных устройств на основе малых и персональных ЭВМ// Тез. докл. науч.- техн. конф. Диагностика обеспечения РЭА на этапах проектирования и производства.-Севастополь.-Л.¡Судостроение.- ¡990,- C.67-68.

45.Хаханов В.И., Монжаренко И.В. Максимова Н.Г. Зондовое диагностирование и анализ таблицы исправного поведения// Тез. докл. международн. научн.-техн. конф. ФОВС-93,- Киев-Харьков-Алушта,- X.: ХПИ.- 1993.- С.39.

46.Хаханов В.И., Монжаренко И.В. Диагностирование неисправностей по реакциям выходов объекта// Тез. докл. школы-семинара.- Алушта.- 1993.- X.: ХИИТ.- 1993.- С.25.

47.Хаханов В.И., Фастовец Г.Г1. Компьютеризация проектирования

автоматных моделей//Тез. докл. всесоюзн. школы-семинара Бионика интеллекта.- X.: ХИРЭ.- 1987.- С.50.

48.ХахановВ.И., БсндикавА.В., Монжаренко И.В. Диагностирование техническою состояния обьекта по реакциям его выходов// Тез. докл. межгосуд. научн.-техн. семинара "Надежность, отказоустойчивость и производительность информационных система-Туапсе.-Краснодар: НТО РЭС.-1993.-С.38.

49.Хаханов В.И. Диагностирование вычислительных устройств с помощью таблиц неисправностей// Материалы 8-й Международной шк.-семинара "Перспективные системы управления на ж.-д., пром. и городском транспорте.- Алушта.- 1995.- X: ХарГАЖТ.-1995.- С.26.

Личпый вклад автора: монография {2] - разделы 3-7; статьи (320,22-25,27}- матаппарат двухтактного кубического исчисления, способы проектирования моделей ЦУ автоматного уровня, методы моделирования исправного поведения и неисправностей, генерации тестов; материалы конференций [28-31,33-36,38-49]- теоретические основы алгоритмов, программное исполнение методов моделирования, разработка методов диагностирования на основе МТН и обратного прослеживания.

АННОТАЦИЯ

Хаханов В. И. Модели цифровых и микропроцессорных структур я метода их анализа в системе диагностического обслуживания:

Днсс. на соисх. учен. степ, докт, техн. наук по специальностям: 05.13.02- математическое моделирование в научных исследованиях 05.13.08- вычислительные машины, системы и сета, элементы и устройства вычислительной техники н систем управления, Харьковский государственный технический ун-т радиоэлектроники, Харьков, 1996.

Защищается 45 научных работ, 2 монографии, 2 авторских свидетельства, которые содержат новые модели и методы анализа цифровых и микропроцессорных структур для проектирования диагностической информации.

Установлено, что двухтактное кубическое исчисление и основанные на нем методы моделирования, синтеза и анализа тестов, организации

и проведения диагностическою эксперимента позволяют повысить ремонтопригодность изделий ВТ, эффективность и качество процессов ее проектирования, производства и эксплуатации.

Осуществлено промышленное внедрение методов: проектирования технологичных кубических моделей пассивных цифровых и МП-структур; логического моделирования; генерации тестов; контроля и поиска дефектов, реализованных в виде операционных средств системы диагностического обслуживания.

ANNOTATION

Hahanov V.I. Digital and microprocessor structure models and their analisys methods for diagnostic service system:

Thesis for claiming Doctor of Science degree (techn.) in specialityes 05.13.02 - mathematical simulation in scientific researches & 05.13.08 -computers, system and networks, elements and units of computer technique and control systems.- Kharkov: Kharkovsky State Technical University of Radioeiectrouics, 1996.

There are two frames cubical calculus using for logic simulation, test generation, fault detection methods of digital and microprocessor structure analisys. The one make a better effectiveness and quality of computer aided design for digital equipment diagnostic service by their production and operation.

The problems of two frames singular compact cover table modeling for gate- functional- algorithmic level model of finite state machine circuit for critical path test generation, design verification multivalued simulation, slack-at and multiple table-driven functional serial and concurrent fault simulation, guided-probe and path-oriented fault detection are solved there. Tire models and approaches are applied to diagnostic service system by intelligent user control.

Ключов!слова:модель, нифровеnpucmpiu. дефект. тест, моделюваннц

Поди, к печати 16.05.96 /

Формат 6 0x84/16 У ИЛ 2.0 ^ /

__ , ><1' '

Изд-so ХГУРЭ, 310726,

Харьков, пр. Ленина, 14 Отпечатало с оригинал-макета в типографии ХВУ. Зак. bit 12/138-96. Тир. 100 экз.