автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.16, диссертация на тему:Разработка математических и программных средств функционально-логического моделирования и тестирования КМОП БС

кандидата технических наук
Исюк, Владимир Ильич
город
Томск
год
1991
специальность ВАК РФ
05.13.16
Автореферат по информатике, вычислительной технике и управлению на тему «Разработка математических и программных средств функционально-логического моделирования и тестирования КМОП БС»

Автореферат диссертации по теме "Разработка математических и программных средств функционально-логического моделирования и тестирования КМОП БС"

Государственный комитет РСФСР то делам нпуки и высшей ипоян

Томский ордона Октябрьской Революции и ордена Трудового Красного Зпамешт государственный университет им. В.В.Куйбышева

На правах рукоплси ИСЩ Владимир Ильич

УДК 519.71:621.292.8?.

РАЗРАБОТКА УАТЕМАТИЧЕС1МХ И Ш)ГРА1,ШК СРРДЯБ ФШОДЮ1ШГЬШ-ЛОП1ЧЕСКОГО ШДЕЛМРОВАШЯ II ТЕСТИРОВАНИЯ- ШШ БИС

^гсигалыгасть: 05.t3.I6 - Применение вычислительной техники

и математических методов в научлих исследованиях

Автореферат

диссертации па соискание ученой степени талдидата технических наук

То: ток, 1991 г.

Работа выполнена в Томском государотшннои университете им. В.В.Куйбшдева

Научннй руководитель - кандидат физико-математических

наук, доцент Агийалов Г.П.

Официальные оппоненты - д:ктор технических наук, зав.

отделом Шаршунов С.Г.,

- кандидат физико-математических наук, с.н.с. Евтушенко Н.Б.

Ведущая организация - ЦКБ "Алмаз" (г.Москва)

Защита состоится " 23 "_¡¿ая_1991 г, в 14°° часов

на заседании специализированного совета Д.063.53.03 при Томской государственном университете ил.В.ВЛСуйЗшлева ш адресу: 634050, г.Томск, пр.Ленина, 35.

С диссертацией шяно ознакомиться в научной (Зибяиотеко Томского государственного университета.

Автореферат разослан " 13 « апреля 1991 г.

Учений секретарь специализированного совета Д.063.53.03

ОБЩАЯ ХАРЛКГЕРИСТ11КА РАБОТЫ

Астуздыюсть работа. При разработка совреглешгой цифровой аппаратуры все большее применение находят интегралыше схемы (ИС). Оценка темпов развития микроэлектроники показывает ежегодное удвоение степени интеграции этих схем. В первую очередь это связа-. но о совершенствованием интогратьной технологии. Вторым мощным фактором роста степеш! интеграции является оператавдее развитие машинных методов исследования проектируемых ИС и, в частности,методов логического моделирования и тестирования этих схем.

В последнее время наблюдается тенденция бурного развития ИО выполненных по КШП-технологип. Совершенотвованпе этой техноло -гил позволило КМОП-схемам приблизиться по быстродействию к биполярным схемам, но сохранило неоспоримое достоинство этих схем -малую потребляемую мощность. Это преимущество К.ЮП-охем становится особенно существенным для БИС и СБИС, так как решаются цробле-ш но только поточхшков питания, но и перегрева БИС. В результата объектом исследования и, в частности, логического моделирова -ния п тестирования становится не просто БИС, а Ш)П БИС, что приводит к серьезным дополнительным проблемам.

Дело в том, что малый статический ток потреблена 13;ХШ БИС и, 1сак следствие малая (па два порядка меньше, чем в других типах БИС) потребляемая мощность являются специфической особенностей и преимуществом КЬЮП БИС. Поэтому в технических условиях на любуй ШШ БИС всегда оговаривается ее ток потребления, чем обуславливается необходимость обязательного параметрического контроля этого тока при изготовлении и испытании Ы,Щ БШ. Кроме того, параметрический контроль тока потребления КШП БИС позволяет легко выявлять, и не учитывать при последующем функциональном контроле, целый ряд дефектов специфичных дал КМОП БИС. В результате еозчи -кает необходимость построения соответствущих тестов для параметрического контроля тока потребления КГЛОП БИС. Для построения та -ких тестов необходима другая, отличная от одиночных константных~неисправностей, модель. Тагам образом, создание модели неисправностей и метода построения теста для контроля тока потребления ШШ БИС является актуальной задачей.

Другой специфической особенностью ШШ БИС.является наличие в этих схемах так назшзаегясс вентилей передачи, которые нельзя од-

позначно представить традиционной логической моделью. Б атом случае даш реализации логического моделирования К,ЮН БИС вынуздеш уходит;, от традиционного моделирования на вентильном уровиэ к более детальному представлению КШП-схемы на переключательном уровне. 1Го моделирование всей КШП БИС да уровне переключений, по-видимому, не реально в первую очередь из-за больших временных затрат. Да и вентильmiii уровень часто, по втой же причина, не в состоянии решить свою задачу для БИС, а зы более для СБИС. Поэтому преодоление этого противоречия и реализация моделирования,при котором одна часть КШП БИС моделируется на функциональном л вентильном уровнях, а другая на переключательном уровне является для К.ЮП БИС второй и не »..еное актуальной задачей.

Цедъ тоботы - исследование и разработка математического .лингвистического и программного обеспечения для реализации многоуровневого функционально-догичоокого моделирования КШП Б1Ю о учетом специфических особенностей этих схем и для реализации метода по -строения и оптимизации тестов параметрического контроля тока по -треблэния КШП БИС.

Дня достижения указанной цели необходимо:

- провести анализ известных методов и программой средств логического моделирования, построения тестов, языков описания и языковой обработки БИС и, в частности, КШП БИС;

- предложить язык описания, алгоритм и модель КШП БИС для реализации многоуровневого функционально-логического моделирова -ШШ КШП БИС;

- формализовать задачу построения тестов для контроля тока потребления КШП БИС;

- разработать и обосновать модели неисправностей элементов ШШ БИС для реализации задачи построения тестов контроля тока потребления f

- предложить метод построения и оптимизации тестов контроля тока потребления ШШ БИС}

- црактичеоки реализовать алгоритмы и программы математического, лингвистического и программного обеспечения системы функционально-логического моделирования и тестирования КШП БИС.

Методы исследования ооновшзаются на теории моделирования цифровых устройств, влементах теории конечных автоматов и булевой алгебры, на основных положениях сиотем футапгопаяьно-логичяокого про-ектировадия.

Научная попнзпа работы состоит в следующем:

1. Впервые выполнена постановка задачи для построения тестов контроля тока потребления К.ЮП БИС.

2. Впервые предложены модели неисправностей, учитывающие иерархию элементов 13,1011 БИС для реализации задачи построения и оптимизации тестов контроля тока потребления КШП БИС.

• 3. Предложен метод для реализации задачи построения тестов контроля тока потребления К.ЮП БИС, основанный на иерархическом подходо п многоуровневом функционапьпо-логкческои моделировании.

4. Выполнено развитие входного языка с целью говшзешш стопе-1Ш адекватности и уровня абстракции объектов функциопально-логи -ческого моделирования и тестирования.

Практическая пештость работы заключается в том, что на основе предлогеяных моделей неисправностей, метода построения тестов контроля тока потребления.КМОП БИС, развития входного языка и разработки алгоритмов математического, программного и лингвистического обеспечения,созданы систеш функционально-логического моделирования и тестирования К.ЮП БИС "Налет".и "Налет 4К". Система "Налет" реализована в 1988 г. на ЭВМ БЭСМ-6 в ОС ДИСПАК на алгоритмическом языке ЖПАС с использованием диалоговой программирующей сио-темы "Пролог". Система "Налет 4К" реализовала в 1990 г. на ПГС "Кулон 4" в составе ЭВМ "Электроника 82" н интеллектуальных грабит " -iaix терминалов "ОРИОН" или ЭВМ IBM PC/AT на языке программирования CII в ОС VAX/VMS .

Внедрение результатов. Результаты диссертационной работы внедрены на пяти промышленных предприятиях отрасли (ГО "Союз" и ОКБ при НЗПП НГЮ "Адрон" г .Новосибирск, ОШВШ г.Омск, ШЕГГТ и НИ "Сапфир" г.Москва). Систеш "Налет" и "Налет 4К" эксплуатируются на этих предприятиях и используются для автоматизации этапа фушщионально-логичэокого моделпровагшя и тестирования заказных КШП БИС или в составе сквозного цикла проектирования полуза- \ казных матричных КШП БКС. За период эксплуатации с помощью оио -тем "Налет" и "Налет 4К" проведепо функционально-логическое моделирование и тестирование более чем тридцати КГОП БИС разной степени интеграции, в том числе комплект КШП СБИС микропроцессорного набора, рад заказных и полузаказных КМОП БИС. Внедрение- системы "Налет" и "Палот 4К" па трех промышленных предприятиях, о суммарным годовым экономическим эффектом 250 тыс. рублей на двух из них, подтверждены соответствующими актами.

Аптх)Оащщ работы. Основные положения и результаты диссертационной работы обсуздаяись на следующих всесоюзных и отраслевых совещание и конференциях:

- У1 всесоюзном совещании по технической дашглостике и отказоустойчивости, Ростов-на-Дону, 1987;

- всесоюзной научно-технической конференции "Ыикропроцессор-1ше систеш автоматизации технологических процессов", Новоси -бирск, 1987;

- отраслевой конференции МЭП СССР "Автоматизированное проектирование СБИС на ВДП-транзисторах", Новосибирск, 1989;

- всесоюзном научно-практическом оештаре к вы с тавке-ярм арке "Совершенотвивание производства электронной аппаратуры", Винница, 1990;

Кроме того, результаты докладывались и обсуждались на коще -ронцип областного ¡ПО РЭС им. А.С.Попова, на четырех научно-технических конференциях предщ штия ОКБ при ИЗПП и на иаучно-техничес-ком семинаре "САПР БИС и САПР радио электронного оборудования" в НПО "Лдрон".

Публикации. По основным полоканиям диссертащш опубликовано 6 печатных работ. Результаты диссертационной работы отраг;е1Ш также в четырех научно-технических отчетах по Ш1Р и 0!£Р. Перечень всех печатных работ приведен в конце автореферата.

Структура работа. Диссертация состоит из штн глав, введения,' заключения, списка цитируемой литературы и содарнит 156 страниц ыалшнопионого текста, 17 рисунков и 3 таблицы.

СОДЕРИШК РАБОТЫ

Введение. Обосшвываэтся актуальность работы, определяются цели исследования, решаемые задачи, научная новизна и практичес-1 кая ценность работы.

Глава, I. Анализ ггосблеш возможные пути ее регсения. В данной главе анализируются проблемы разработки математических и программных средств функционально-логического моделировании и тестирования КМОП БИС. Здесь рассматривается ряд литературных источников, дается обзор существукхцнх программных средств логического моделирования, методов построения тостов и входшге языков ошшшшп. В результате формируются основные требования, предъявляемые к современной системе фушсционашю-^югяч^ског'о иоцелгрояашш КШП БИС и

к входному языку такой системы, а тоже обосновывается практическая необходимость для КГОП БИС построения тестов парамот,ического контроля тока потребления.

Глава, 2. Многоуровневое функционально-логическое мшщирова-гае. В данной главе рассматриваются комплементарные ШП БИС (КШП БИС) как объекты логического моделирования. С этой позиции любой ■ КШП-транзистор а/ —или р -типа может быть адекватно представлен эквивалентной схемой в виде идеального кляча соответственно л/- или р -типа проводимости. Такой подход позволяет рассматри -вать КШП-транзисторы как переключательные элементы о управляемой проводимостью, а КШП-схеми как переключательные схемы построен -пые из элементов с управляемой проводилостью. Известен соответствующий математический аппарат для анализа и синтеза таких схем (проводвмостная модель переключательной схемы, функция проводимости, алгебра проводимостей и т.д.).

В проводимостннх моделях поведение КШП-транзисторов ошзсшза-ется функциями проводимости, а состояние любого узла МОП-схемы набором этих функций. Так например, состояние узла у -выхода ШШ-схемы "И-ИЕ" описывается как пара проводимостей:

где К/ - это функция проводимостей двух р -транзисторов, имеющая вид:

// -Р(К1) *Р{Х2),

а ¿0 - это фушасл проводимостей двух У --транзисторов, тлеющая

В проводимостннх моделях самым естественным образом присутствует третье логическое состояние - состояние высокого импеданса. Так например, дал КЮП-элемента, называемого универсальным элементом выхода и представляющего собой последовательное соединение меяду источником питания и земли двух КШП-транзисторов а/ -и р -типа, состояние узла у описывается как пара функций проводимостей, т.е.

У = р(У<)} а/ [К2).

Понятно, что состояние высокого импеданса обеспечивается непроводящим состоянием Р(Х{/ п а/^СЗ) •

Существенно, что проводимоетная модель позволяет достаточно точно опиоать поведете КШП-элементов типа вентиль передачи. Такие ЙЛЗ-элементы реализуют цепи проводш.юсти логических сигналов в прямом и обратном направлении мазду парами вход/выходных узлов этого элемента. В дальнейшем такие цепи проводимости будем наза -вать каналами.

Типичным примером такого К.ЮП-элемента может служить клич ыежкаскадной связи, представяя^лццй собой простое параллельное соединение двух КМОП-транзисторов /-и р-типа. Уиравлеии транзисторами осуществляется обязательно противоположными логическими сигналами. Состояние двух вход/виходных узлов ключа определяется состоянием канала, реализованного У- и Р -транзисторами, и макет быть описано следующей функцией проводимости:

Заметим, что подобные КМОР-элементы не представляется возможным однозначно, например 6 :г введе1шя фиктивных элемонтов, продета -вить традиционной логической моделью. Тагам образом, для элементов КШП БИС проводимоотнап модель является ушшорсальной и более точной,чем логическая модель. ^

Исходя из сказанного понятно, что проводпмостная модель бо -лее предпочтительна для реализации логического моделирования КШП БИС. Использование проводимоетной модели приводит к детализации КШП БИС до уровня переключательных элементов с управляемой проводимостью. В результате мы гарантируем требуемую точность логического моделирования, но резко увеличиваем время моделирования всей БИС» Поэтому, естественно желание использовать проводимостную модель только в тех случаях, где без нее трудно обойтись, т.е. для КШП-элементов типа вентиль передачи или для отдельных КШП-тран-эисторов. Для всех остальных элементов КШП БИС молаю использо -вать логическую модель.

Для практической реализ ции такого подхода предлагается использовать единое представление логической и проводимостной модели в ввде конечного автомата. Особенностью рассматриваемой авто -матной модели является попытка выделения из всего множества выходных переменных, так называемых канальных выходных переменных. Канальная переменная будет определять состояние проводимости капала КЮГ -элемента, предотавлонного проводимостной моделью. В рэзуль -тате функция проводимости КШП-елемвнта будет интерпретироваться как зависимость канальной,, пвремешой, ооотвотс-гпуыщей определен -

■nci.tr каналу, от входной переменной, соответствующей управлящему входу этого канала.

Поведение автомата опишем системой функций переходов/выхо -дов, дан задания которой используем язык таблиц переходов и выходов в текстовой форме или язык микропрограмм в компилятивной форма, Каддая строка таблицы будет иметь шшдупций вид:

^ р Г/ ¡'¿'<1 <Г

где ^ , $ и / - наборы значений соответственно входных, магистральных (вход/выходных) и внутренних переменных схемы в момент времени I ,а А', (э и сР - наборы значений соответственно внутренних, магистральных, выходных и канальных переменных схеш,в момент времени . При этом каждая перемен -ная пригашает значение в множестве £0,1,?, -} , где 0 п I -логический ноль и единица соответственно, Е - высокой,гаеданспоа состояние и * - " обозначает неопределенное состояние (любое из 0,1 и 3 ).

Например, автоматная модель Л -триггера с -установкой мозот быть задана таблицей переходов/выходов в следующем виде ( Л , С и <? -входные переменные, # и л/Я - выходные, а и (12 - внутренние)! ,

С я 01 02 / 01 02 0

— _ I - / 0 0 0 I,

0 0 0 / - 0 / 0 .0 0 I,

I 0 0 - 0 / I 0 0 I,

0 0 0 - I / 0 I I 0,

I 0 0 - I / I I I 0,

- I 0 0 - / 0 0 0 I,

- I 0 I - / I I I 0

Автоматная модоль ключа межкаскадной связи, содержащая дво входные переменные X и 1 й одну канальную - АВ, будет иметь следутащй вид:

X % / АВ 0.1/0, 10/1

Структура математической модели всей ЕГОП БИС коеэт быть представлена логической сетью, вершинами которой яздяются логические ячз&ш. Логические ячейки сети - это конечные автоматы, которые могут бить представлены как-традиционной логической моделью,

■так и проводимостной моделью, могут бить одно- и многовыходшши, о памятью и без памяти, а такжо о третьим -высокоиыпедансным состоящем и так далее. Полюсы логических ячеек, а также внешние полюсы КМОП БИС соединяются между собой линиями связи. Логические ячейки сети осуществляют преобразование значений сигналов на линиях, связанных с их входами в значения сигналов на линиях связанных с их выходами, а такке коммутацию линий связи. Сами линии свя-8и осуществляют передачу сигналов между логическими ячейками сети.

Таким образом, рассмотренная математическая модель позволяет реализовать моделирование, при котором вся КШП БИС цредставлена структурным описанием с уровнями иерархии, ограниченными логическая! ячейками. При этом,по желанию пользователя, одна часть КШП БИС, представленная логически,га моделям в форме таблиц переходов/ выходов,моделируется на функциональном уровне,другая часть схемы, продставлакк&ч логическими моделями в компилятивной форме, - на вентильном уровне и треть., часть, представленная проводимостными моделями, - на переключательном уровне. Такое многоуровневое функционально-логическое моделирование решает многие цроблеш проектирования КШП БИС!.

Глада 3. Построение тестов контроля тока потребления КШП БИС. Параметрический контроль том потребления ГС.ЮП БИС основан на том, что в статическом состоянии этот ток пренебрежимо мал. Поэтому превышение определенного уровня тока потребления воспринимается как неисправность. Есть все основания утвервдать, что большинство дефектов КШП-схем типа обрыв затвора, захоротка или постоянно открытый транзистор аффективно выявляются при параметрическом контроле тока потребления этих схем. Известно, что некоторые из этих Неисправностей вызывают специфические изменения функционирования КШП-схем, так, например, комбинационный элемент с оборванным затвором транзистора монет вести себя как элемент памятью. Возможно и так, что дашше неисправности на изменять алгоритм функционирования.

В любом случае существенно то, что любая из этих неисправ -ностей вызовет на каком-то конкретном тестовом наборе превышение требуемого уровня тока потребления и, тем садам, сразу выявит себя, а только затем, возможно, проявит себя в изменении алгоритма сункционированкя схемы. Таким образом, при построении теста на ток ¿отребления КШП-схем т вправе, во-первых, рассматривать только функционально исправную МШ-охему,1" и, во-вторых, искать тестовое наборы, которые будучи поочередно контролировать каждый трал -

зистор шш. группу транзисторов, а результаты контроля будут "оцениваться по току потребления КМОП-схем, а не по ее выходам.

С уютом рассмотренных особенностей задача генерации теста на ток потребления КМОП-схем формулируется следующим образом. Пусть для каждого логического элемента К'ХШ-схемы задан описок входных наборов этого элемента, обнаруживающий неисправности, вызывающие превышение тока потребления. Такие входные наборы будем называть митаэнями. Если есть тестовый набор КШП-схемн, который доставляет на вход некоторого элемента один из наборов такого списка, другими словами, покрывает мишень, то можно утверждать, по аналогии с традиционным подходом, что тестовый набор схемы оонаруживает некоторую неисправность. Если есть некоторая входная тестовая последователь -ность схемы, которая покрывает все мшояи всех элементов схемы, то мошго у-верядать, что тестовая пооледовательнооть обнаруживает все неисправности, вызывающие превышение тока потребления в схеме. Такую тестовую последовательность будем называть полным тестом на ток потребления КГОП БИС. Если входная тестовая последовательность иэ полностью покрывает все мишени, то ей црзяисувается характеристика, называемая степенью полноты теста и представляющая собой отнотзшга числа покрытых мнщеией :: общему числу ?.-лгленоЙ. В конечном итоге,подачей является построение теста, длина и полнота которого удовлетворяют требованиям разработчика.

Неисправности, вызывающие превышение тока потребления ШШ БИС, - это физические дефекты одного шш нескольких транзисторов, проявляющиеся в виде тока утечки в этих транзисторах, способного вызвать превышение определенного уровня тока потребления КШП БИС. Такие неисправности можно проворить если выполнить следу щее условие: перевести этот транзистор в закрытое состояние, а на его сток и исток доставить оИгната противоположных значений. Например, дга проверки ,Р -транзистора на ток утечгаг необходимо подать на затвор закрывающую логическую "I" при условии, что на его сток и исток поданы сигналы противоположных значений.

Таким образом дош Р -транзиотора входные наборы вцдаг

Затвор Сток ,Исток I 0 1

I 10

обеспечивают условие проверти этого транзистора на ток утачки и, в соответствии с постановкой задачи построения теста на ток потребления тегут называться мишенями Р - транзистора. Любая кз двух

представленных мишеней проверяет одну и ту же неисправность Ы-транзистора ~ его ток утечки. Таким образом эти мишени эквива -лентны друг другу о точки вреши их способности проверять ток уточки конкретного КШП-транзистора.

Рассмотрш более общий случай, когда несколько КМОП-транзио-торов представляют собой структуру какого-либо логического элемента. Очевидно, что в этом случае входной набор этого логического элемента монет называться мишенью, соответственно задаче построения теста на ток потребления, если он обеспечивает условие проверки неисправности, вызывающей ток утечки одного ив К.Ш-традзисто-ров этого логического элемента.

Другими словами, если входной набор логического элемента покрывает мишень некоторого КШП-транзиотора, входящего в структуру этого логического элемента, то можно утверждать, что входной на -бор явдяегоя мишенью данного логического элемента, Таким образом, мишени логического элемента можно отроить на основе анализа покрытия гашеной транзисторов составляющих структуру этого логического элемента. Такой подход к построению мишеней можно распространить и на общий случай, когда произвольный логический элемент состоит из таких же логических элементов,но более низкого иерархического уровня. В этом случае мишени произвольного логического элемента могут строиться на основе анализа покрытия мишеней логических' элементов, составляющих отруктуру этого элемента. Таким образом, предлагаемый иерархический подход позволяет строить мишени для логических элементов о любым уровнем вложенности, вплоть до транзисторного .

Следует отметить, что в логической структуре элементов возможно наличие групп неразличимых транзисторов (ГНГ), если эта структура на позволяет обеспечить условие обнаружения неисправности отдельно для каждого транзистора, В таком случае проверяющий входной набор для ГНГ (мишень ГНГ) - это набор, обеспечивающий условие обнаружения неисправностей одновременно для всех транзисторов ГНТ.

В структуре элемента возможно также наличие непроверяемых транзисторов, т.е. транзисторов, для которых невозможно выполнить условие проверки на ток потребления ни при каких входных тестовых последовательностях ШШ-схгмы. Неисправности непроверяемых транзисторов могут быть исключены из списг?. неисправностей элемента. В результата, кавдому проверяемому транзистору шш ГНТ любого элемента КМОП-схеш можно поставить в соответствие свою мишень. Спи-

сок этих мишеней образует модель неисправностей элемента дла построения теста на ток потребления Ю.ЮП БИС.

До сих тор ш рассматривали элемент КМОП-схем, логическая (функция которых зависит только от текущих значений входных пере--менных, В дальнейшем мииени моделей неиецравяоетей таких элементов будем называть простыми. Для блоков ШШ-схем, представляю- . щих собой логическую сеть из логических элементов и реализующих функции, зависящую от текущих значешй входных п внутренних переменных, предлагается строить сложшэ мишени, представляющие собой наборы, состоящие из входных и внутренних переменных. Сложные ми-иенн дая таких блоков тагаса возмояно строить, основываяов на иерархическом подходе, т.е. основываясь на анализе покрытия простых ¡ш~ пеней элементов, составляющих логическую структуру этого блока.При этом булем предполагать, что набор входных и выбранных внутренних переменных любого блока достаточен для однозначного покрытия простых мишэней.

Приведем пример модели неисправностей рассмотренного ранее двухтактного £ -триггера с -установкой. Модель, порядок следования переменных в которой - Р , С » Я * п <?£? > имеет следующий вид:

МИШЕШ —0—, —100, -О—.

10100(3),

Ш00/П00-/0101-(2), Ю01-/-Ю1-(3), 0000-/-Ю0-, ЮЮ0/0000-/-Ю0-, 00001/1001(2), ~Ю1-/-00-1(3), ~100~/-00~0(2)* /3/ ш

где (3) - ШГ»

/ - разделитель эквивалентных мишеней;.....

/3/ - чноло непроверяеш!х КШП-транзиоторов. Предлагаемый далее метод построения теста оводится к фунгаси-отзльно-догичэскочу моделировании и анализу покрытия митаеней мо -делой неисправностей пссх логических элементов КШП БИС на заданной тестовой последовательности. Анализ гокрмтгл шгленей пезпо.те-ет определить качественные и количественные характеристики кат^о-

го набора в тестовой последовательности и процент полноты всей ' тестовой последовательности для проверю! ШШ БИС на ток потребления. Рассматриваемый метод построения теста предполагает такие наличие интерактивных средств корректировки и дополнения теста по результатам анализа шкрытия мишеней на заданной тестовой последовательности. В результате этого коаструктор-схемотехкик, исполъ -зуя неформальные критерии эффективности теста, молот построить тест проверки КШП БИС на ток потребления о достаточным процентом полноты.

При построении теста на ток потребления вайю выполнить оп -тишзацшо построенной тестовой последовательности по минимуму числа измерений тока потребления К.ЮП БИС при обеспечении достигнутого процента полноты. Количественную информацию о множестве мтлопей, покрытых каядам набором данной последовательности, мо:шэ предста -вить в форме булевой матрицы. Тем самым, задача оптш.шзацип тестовой последовательности сводится к задаче поиска минимального по -крытия булевой матрицы, и монет быть решена методом сокращенного обхода дерева поиска.

Таким образом, конструктор-схемотехник, используя предложенный ранее метод, строит тест проверки КШД БИС на ток потребления с достаточным процентом полноты и далее в автоматическом резвые осуществляет его оптимизацию и последующую подготовку дал работы с контрольно-измерительным оборудованием.

Глава 4. Язык описания и средства языковой обработки. Для ре- ' алпзации предлагаемого многоуровневого функционально-логического, моделирования и тестирования КШП БИС необходима разработка соответствующего лингвистического обеспечения, т.е. специального входного языка описания объектов моделирования и тестирования, а танке соответствующее программных средств языковой обработки.Особенность такого языка прежде всого в том, что этот язык должен обеспечить не только структурное и функциональное описание ШШ БИС, но и описание моделей неисправностей элементов КШП БИО.

В сапу 'сказанного представляется целесообразным взять за основу язык СЛОГ, который позволяет описывать как структурные, так и функциональные данные. Развитие языка СЛОГ позволяет оовдать входной язык СЛОГ 4К.

Описание схемы в языке СЛОГ 4К - го структура взаимосвязанных подсхем, каждая из которых, в свою очередь, такзе является схемой со своей структурой. Глубина иерархии не ограничена. На самом нккпем уровне расположены подсхемы, не представленные структурой.

Они описаны функционально, как автоматы, на языке микропрограмм в компилятивной форма или.на языке таблиц переходов/выходов в текстовой форме.

Каждая схема в языке СЛОГ 4К имеет свое имя. Все описание схемы составляется из разделов, кагтый из которых описывает какое-либо свойство или особенность охемы. Все разделы начинаются с юло-чевых слов. Структурное описание включает разделы о ключевыми словами liOIÎTAICra, МОДУЛИ и связи.

Функциональное описание в языке СЛОГ 4К используется как дополнительная описательная грамматика, дая задания всей необходимом информации для моделирования и тестирования iqiul БИС. При btoî.i описание функционирования любого логического КШ-элемента сводится к цростейлей настройке на соответотвунцие комтшггивныо модели, шш к задашь таблицы переходов/выходов. Для этого в языке СЛОГ введены следующие разделы функционального описания: КОНТАКТЫ, ВХОДИ,

шода, ;,шгистрыи, каналы, внутренние и таблица.

Для задания различных временных задетшк функциональное описание содержит раздел КОЭуИПЦИЕНТЫ, в котором минимальная информация включает только целочисленную временную задернку срабатывания элемента. Расширенная информация включает в себя значения целочисленных временных задержек по фронту и ыо спаду импульса дай каддой переменной автоматной модели. Полная информация включает всю расширенную ивдормпцто, но для различных коэффициентов нагрузки. А максимальная информация включает в ~ебя всю полную информацию, но еще и в зависимости от длительности фронта пли спада входных сигналов поступающих на элемент в процессе моделирования.

Такой подход к ваделяю временных характеристик автоптной мо-делл кагдого элемента позволяот проводить врученное моделирование с разной степенью адекватности реальному объекту и в процессе отладки логической схемы последовательно приближаться к все более точному, с точки зрения временного анализа, функционально-логическому моделированию.

Функциональное описание элемента моаот содержать еще один раздел, необходимый дая рекения задачи построения теста на ток потребления К'.'Ш БИС. Это раздел Ы5ШШ, который содержит описание подели неисправностей данного элемента.

Для обработки исходных данных, представленных 1ш .'¿зш;е СЛОГ 4К, кеобходиш соответствующие программные средства языковой обраЛот -кп. В этой связи штао г.иделать шть ооновтк прогреми языковой обработка, 1топо.1ьпуо!31..с в системе моделнролглич r т^стировпши;

ie

ото - синтаксический анализатор, компилятор, экспандер (программа развертки), декомпилятор и конвертор.

Гжспацдер, т.о. программа развертки, является одним из наиболее ва-ишх видов языковой обработки,без которой нельзя обойтись при иерархическом описании объектов моделирования и тестирования. Экспандер выполняет развертку структурного иерархического описания до уровня представления схемы в зависимости от последующей задачи. Так при функциональном моделировании развертка иерархии вы -полняется до уровня подсхем,имеющих функциональные описания. При тестировании - до уровня подсхем, имеющих мишени. При подготовке данных для автотрассировки развертка структурного ошзсания схеш цроизводится до уровня модулей, имеющих топологическую реализацию. Возможности такого экспандера во многом определяют возможности всей системы многоуровневого фушсцио нально-догического моделирования и тестирования.

Елдра, jp, .ФгтВДРН^ДР-ДОШР'?..::

цаго моделирования и тестирования КШП БИС. Реализация математического, лингвистического и программного обеспечения нашла практическое воплощение в системах функционально-логического моделирования п тестирования КШП БИС "Налет" и "Налет 4К". Структуры програм -иных средств этих систем сориентированы на концепцию единства и неразрывности лингвистического и информационного обеспечения, модульности программного обеопеченш (Ш) и наличия интерактивного режи -ма на всех этапах отладки логического проекта КШП БИС..

Первые версии "Налет 2.1" и "Налет 3.1" были разработаны на ЭВМ БЭСМ-6. В этих системах пришлось полностью разрабатывать все компоненты общесистемного и специализированного ГО. Это правде всего: монитор, СУБД, подсистема подготовки данных и подсистема логического моделирования и тестирования.

В настоящее время разработана новая система "Налет 4К" на ИГС "Кулон-!" (ЭВМ "Электроника 82" с графическими станциями "Орион" или ЭВМ IBM PC/AT). При этом был разработан входной язык СЛОГ 4К. Система состоит из следующих интерактивных программшх оредств:

- монитор;

- база данных;

- система структурного проектирования;

- система моделирования и тестирования.

Существенными отличиями системы "Налет 4К" подаю ориентации на современные средства вычислительной техники являются: во-первих, реализация пакета программ автоматизированного построения и оптнми-

эоции тостов контроля Ю.ЮП БИС на ток потребляем и во-вторг;:, использование в системе "Налет 4К" отдельных программных компонент системы -2000 фирмы ¿13СО , в результате обеспечена

возможность использовать хороший сервис и графические сродства ввода/вывода системы 31 -2000, а тшоке использовать, пошило графического ввода, любой из текстовых входных языков описаш!я СЛОГ 411 пли .

Система "Налет 41С" учитывает все специфические особонности ХЛОП БИС (мультишгаксорше шшш и лшпга, двунаправленные входн/бн-ходы, элементы типа вентиль передачи, высокий импеданс и т.д.) .. ко накладывает каких-либо ограничений на архитектуру логических проектов БИС. Предельные размерности проектируемых схем и тостов ограшчеш только временем моделировшшя, при этом обеспечено хо-ххшое быстродэйствие системы. Так время моделирования в "Налет 4К!' в два раза меньше чем е системе моделирования В1!.Ю$ -2000.

Бальной ошт эксплуатации систем "Налет" и "Налет 4К" на пл-ти промышленных предприятиях при проектировании более чем 30 ре -алышх КШП БИС доказал их достоверность и эффективность.

Заключение. В диссертационной работе получены следующие осной-ше результаты:

I. Выполнена форлализация и постановка задачи построения тостов для параметрического контроля тока потребления Ю.ЮП БИС, что позволило свести эту задачу к задача покрытия мишеней и рассматривать только исправную схему, пекли чкз необходимость моделирования всех схем с неисправностями.

■ 2. Разработаны модели неисправностей, учитывающие иерархию структур КШП-элементов, в вида списка проотых и сложных мило ней, для реализации аадачи построения тестов на ток потреблю :шя Ю.ЮП БИС.

3. Предложен метод построения тестов на ток потребления Ю.ЮП БИС, основанный на иерархическом подходе, многоуровневом функционально-логическом моделировании и анализе покрытия мишеней.

4. Предложена п обоснована модель КШП БИС для реализации миогоуровпевого функционально-логического моделирования этих схем на переключательном, вентильном и фушециональном уровнях. Особенностью предложенной модели является исгаэльзоваш:а для прадставле-шя Й.ЮП-элемонтов кал логической, так л ирсводшостно" модэли, что гозполяет естественным образом учесть с децифпчеогпга особен поста Ю.ЮП-схем.

5. Выполнено развитие входного языка СЛОГ и созданио СЛОГ 4К о питью повышения степени адекватности и уровш абстракции реаль-1шх объектов фушарганально-логичеокого моделирования и тестирования.

6. Выполнена практическая реализация предлагаемых методов и моделей. Разработаны алгоритмы математического, лингвистического и программного обеспечения, а на их оонове созданы программные средства оистем функционально-логического моделирования и тости -гования "Налет" и "Налет 4К". Эти системы прошли oai.;yio серьезную проверь в ОКБ при 113Ш при цроектировашт более чем 30 реальных заказных и полузаказных КЛОП СБИС. Большой опыт эксплуатации систем "Налет" и "Налет 4К" на пяти промышленных предприятиях показывает, что использование этих оистем позволяет значительно сокра -тить сроки и повысить качество проектирования ШОП БИС.

Основные результаты работы отралены в следующих опубликованных статьях, тезисах и отчетах:

1. Исюк В.И. САПР матричных БИС, основные концепции // Алго-ритш решения задач дискретной математики; Под ред, Г.П.Агибалова,-Томск: Изд-во Том. ун-та, 1987. - Вып. 2. - С. 186-194.

2. Исшк В.И., Михайлов Б.Н. Применение элементо-кодового анализа для моделирования Цифровых БИС // УСнМ - 1980. - JS 2. - С.35-37,

3. Исюк В.И. САПР БИС для проектирования микропроцессорных систем // Микропроцессорные системы автоматиз. тех. проц. Всесоюзная н.-т.конф. - Новосибирск, 1987. - С. 71-72. ■

4. Бузанов В.А., Исюк В.И., Лппокий В.В. Автоматизированный синтез тестов на токи утечки в КШП БИС // Техническая диагностика. Шестое всесоюзное совещ. - M., 1987. - С. 71.

б. Исюк В.И. Комплеко программных оредотв построения теста проварки Ю,ЮП БИС на ток потребления // Автоматизированное цроек-тирование СБИС на ВДП - транзпоторах. Сер. 3. Микроэлектроника. -M., 1989. - Вып. 2. - С. 18-19.

6. Исюк В.И. Программно-методический комплекс построения теста проверки КШП СБИС на ток потребления // Совершештвоважге производства электронной аппаратуры. Н.-т.семинар к выотавке-тяргларке -Винница, 1990. - С. 35-36.

7. Разработка и внедрег. ;е ППО САПР функционально-логического проектирования БИС на основе КШП/ШС атрицы, содорзсцей 5000 вентилей // Отчет по ОКР; Руководитель Исюк В.И. - Новосибирск, ОКБ при H3IÏÏI, 1987. - 33 с.