автореферат диссертации по радиотехнике и связи, 05.12.13, диссертация на тему:Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях

кандидата технических наук
Аристархов, Василий Юрьевич
город
Москва
год
2008
специальность ВАК РФ
05.12.13
цена
450 рублей
Диссертация по радиотехнике и связи на тему «Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях»

Автореферат диссертации по теме "Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях"

На правах рукописи

/

Аристархов Василий Юрьевич

ии344В502

ИССЛЕДОВАНИЕ И РАЗРАБОТКА МЕТОДОВ ПЕРЕДАЧИ ИНФОРМАЦИИ В ВЫСОКОСКОРОСТНЫХ БЕСПРОВОДНЫХ СЕТЯХ

Специальность 05.12.13 - Системы, сети и устройства телекоммуникаций

АВТОРЕФЕРАТ диссертации на соискание ученой степени кандидата технических наук

Москва-2008

2 2 СЕН 2008

003446502

Работа выполнена на кафедре теории цепей и телекоммуникаций Нижегородского государственного технического университета им. Р.Е Алексеева.

Научный руководитель: Официальные оппоненты.

Ведущая организация:

доктор технических наук, профессор Крылов Владимир Владимирович

доктор технических наук,с.н.с. Волчков Валерий Павлович

кандидат технических наук, доцент Власов Василий Алексеевич

ФГУПНПП «Полет»

Защита состоится <ь£» /О 2008 г. в часов на заседании совета по защите докторских и кандидатских диссертаций Д 219.001.03 Государственного образовательного учреждения высшего профессионального образования Московский технический университет связи и информатики по адресу. 111024, г. Москва, ул. Авиамоторная, д 8а, ауд. А-455.

С диссертацией можно ознакомиться в библиотеке Московского технического университета связи и информатики

Автореферат разослан «_ Л»

Ученый секретарь совета / / /

по защите докторских и 'г^

кандидатских диссертаций —Косичкина Т.П.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность работы

На сегодняшний день острой проблемой развития высокоскоростных персональных сетей стало отсутствие доступного, технически реализуемого решения для физического уровня. До недавнего времени технологии 802.15 1 и 802.11 были единственными способами организации сетей Скорости передачи данных в них (до 100 Мбит/с), явно не соответствовали возрастающим потребностям пользователей В феврале 2002 года, Федеральная Комиссия по связи США предложила использовать частотный диапазон [3,1-10,6] ГТц для коммерческих приложений, что послужило поводом созданию альянса компаний во главе с фирмой Интел Результатом работы альянса стала выработка предложений по организации физического уровня и пользовательского доступа для высокоскоростных беспроводных сетей. Суть данных предложений сводилась к разбиению предложенного диапазона на поддиапазоны, ведению передачи поочередно в каждом из поддиапазонов и использованию технологии ортогонального уплотнения несущих (OFDM - Orthogonal Frequency Division Multiplexing) для борьбы с многолучево-стью. На канальном уровне планировалось использовать метод временного разделения каналов с коммутацией пакетов для организации множественного доступа.

Из-за ряда существенных недостатков данного способа передачи информации, описанные выше предложения, не приобрели статуса международного стандарта Основными проблемами стали: технологическая сложность устройств, высокая стоимость, невозможность обеспечить высокую пропускную способность На текущий момент разработаны прототипы устройств беспроводной универсальной последовательной шины, где используется физический уровень с максимальной скоростью передачи 480 Мбит/с, работающий в полосе 1,5 ГТц, что покрывает лишь 20% разрешенного диапазона.

Таким образом, одной из актуальных научных проблем телекоммуникации и развития систем связи является разработка методов организации высокоскоростного приемо-передающего тракта в персональных беспроводных сетях с возможностью масштабирования частотного диапазона и устойчивой работы в условиях сложной помеховой ситуации. Именно высокоскоростная передача данных представляет особый интерес, тк эта технология позволяет заместить имеющиеся проводные каналы (соединения между компьютерами, соединение системного блока с монитором и т.д ) беспроводными, существенно упростив высокоскоростной доступ в сеть.

Объектом исследования данной работы являются методы организации передачи данных в беспроводных сетях.

Предмет исследования - Физический уровень беспроводной сети.

Цель работы - анализ и разработка архитектуры, протоколов и алгоритмов обработки сигналов для высокоскоростных беспроводных персональных самоорганизующихся сетей передачи данных с переменной топологией (AD-НОС) и широким набором требований по качеству обслуживания

Задачи работы

В данной работе поставлены следующие задачи.

1. Произвести анализ существующих методов построения высокоскоростных беспроводных систем передачи данных.

2 Разработать алгоритм детектирования данных, отличающийся от существующих методов стабильной работой в условиях частотно-селективных замираний, низкой вычислительной сложностью и удовлетворяющий требованиям по качеству приема

3. Произвести анализ оптимальных параметров передачи для разработанного метода детектирования данных.

4 Предложить схему коммутации данных с возможностью одновременной поддержки низкоскоростного служебного и высокоскоростного пользовательского трафика, отличающейся низким уровнем задержек

5. Разработать протокол высокоскоростной беспроводной передачи информации на основе использования как специализированных сигнальных процессоров, так и процессоров общего назначения. Рассчитать вычислительную сложность алгоритмов обработки цифровых сигналов на базе IA-32/64 (Intel Architecture) архитектуры с различным числом ядер

6. Построить имитационную модель физического уровня с использованием теоретических моделей канала связи, адекватно отражающих распространение сигналов в условиях помещений Получить на основе построенной модели основные характеристики разработанного протокола передачи данных

Научная новизна работы.

1. Разработан метод передачи данных на основе независимых субпоследовательностей при котором в качестве детектора используется устройство, реализующее оптимальный по критерию максимального правдоподобия принятой последовательности (МППП) алгоритм приема в целом Отличие предложенного алгоритма детектирования от существующих заключается в сравнительно низкой вычислительной сложности Для поддержания субгигабитных скоростей передачи информации предлагается использовать разработанный метод совместно с технологией перескока частоты.

2 Для разработанного алгоритма оптимального приема в целом приведен теоретический расчет оптимальных параметров передачи по критерию вычислительная сложность/скорость передачи.

3 Разработана гибридная схема коммутации данных, которая является конвергенцией технологий пакетной и канальной коммутации. Гибридная схема отличается низким уровнем задержек, простотой реализации, а также обладает новым качеством в контексте адаптивного управления время-частотным ресурсом сети.

4. Для цифровой обработки сигналов физического уровня беспроводной высокоскоростной сети предложено использовать процессоры общего назначения на базе многоядерной CISC (Complete-Instruction-Set Computing -полный набор команд) архитектуры с поддержкой команд SSE 3 (Streaming SIMD (Single Instruction Multiply Data) Extension - расширенный набор команд для потоковых вычислений) На основе данного подхода разработаны оптимизированные алгоритмы обработки сигналов

Практическая ценность работы заключается-

• в применимости разработанной архитектуры адаптера беспроводной высокоскоростной сети для проектирования и создания новых устройств передачи данных,

• в применимости разработанных методов передачи информации для повышения помехоустойчивости приема в средах с сильным влиянием замираний,

• в применимости разработанной схемы коммутации цифровых данных для повышения пропускной способности беспроводных высокоскоростных сетей,

• во внедрении результатов работы в исследованиях и разработках компании AHO УНЦ "Радиотехника".

Методы исследования. Для изучения и решения поставленных задач использовался математический аппарат теории вероятностей, а также имитационное моделирование на ЭВМ с использованием теоретических моделей беспроводных каналов, полученных путем обобщения экспериментальных данных

Апробация результатов исследования Основные положения диссертации были представлены на следующих конференциях-

"Сверхскоростная беспроводная сеть с переменной топологией" Семинар Нижегородский Государственный Университет Декабрь 2004

"Исследование, разработка и применение высоких технологий в промышленности" Санкт-Петербург Февраль 2006.

10-я Научная конференция по радиофизике, Нижегородский Государственный Университет, Нижний Новгород 5 мая 2006.

19-ая Международная научная конференция "Математические методы в технике и технологиях". Воронеж 2006.

"The 10th World Multi-Conference on Systemics, Cybernetics and Informatics: WMSCI2006", Orlando, Florida, July 2006.

Кроме того, на представленное в работе изобретение имеется патент РФ РФ № 2291572 "СПОСОБ БЕСПРОВОДНОЙ ЛОКАЛЬНОЙ СВЯЗИ" от 11 апреля 2005г. Зарегистрировано в Государственном реестре изобретений РФ 10 января 2007г Для проведения исследования был получен грант №323 0709-4-56 по программе "Старт" Министерства Образования РФ.

Публикации. Основное содержание диссертации изложено в 13 публикациях автора, в том числе 3 статьи в рецензируемом журнале ВАК "Журнал научных публикаций аспирантов и докторантов", от августа 2007 года

Основные положения, выносимые на защиту.

1 Организация передачи данных с помощью независимых субпоследовательностей и использование детектора, работающего по критерию Ml 11111 и реализующего прием в целом. Теоретический расчет оптимальных параметров передачи Потенциальные возможности адаптивного управления качеством приема

2 Протокол передачи данных для беспроводной высокоскоростной сети на основе разработанной гибридной схемы коммутации. Особенностями разработанного протокола являются' высокая пропускная способность, ню-кий уровень временных задержек, простота схемотехнической реализации

3 Универсальный адаптер беспроводной сети на основе процессоров общего назначения. Расчет потенциальной пропускной способности для многоядерных гомогенных систем на базе IA-32/64 архитектуры.

4 Результаты экспериментального анализа разработанного протокола на основе модели физического уровня беспроводной сети передачи данных с использованием моделей канала связи согласно рекомендациям группы 802 15 За комитета IEEE в области частот [3,1-10,6] ГТц и сравнительный анализ полученных результатов с характеристиками существующих систем.

Структура диссертационной работы

Текст диссертационной работы состоит из введения, четырех глав, заключения, глоссария, списка литературы и приложения. Общий объем диссертации со-

ставляет 154 страницы текста, содержит 60 рисунков, 3 таблицы. Список литературы содержит 51 наименование.

ОСНОВНОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность диссертационной работы, сформулированы ее цель и решаемые в исследовании задачи, определена новизна полученных результатов, их практическая значимость, сформулированы основные положения, выносимые на защиту, кратко изложено содержание диссертации.

В первой главе приводится описание существующих способов передачи информации в высокоскоростных беспроводных сетях. На настоящий момент основным направлением развития беспроводных сетей передачи данных является проектирование высокоскоростных самоорганизующихся сетей без строгой инфраструктуры, реализующих возможность поддержки динамической топологии сети для мобильных агентов Основными недостатками существующих решений является низкая пропускная способность, высокий, для мультимедийных данных, уровень задержек, а также накладные расходы, связанные с использованием пакетной коммутации Кроме того, данные стандарты не предусматривают их применения для соединений на гигабитных и субгигабитных скоростях на коротких расстояниях (в пределах 4-6 метров), что делает невозможным их использование в качестве альтернативы кабельным соединениям между системным блоком компьютера и периферийными устройствами.

Результатом анализа существующих протоколов передачи данных стало предложение архитектуры физического уровня на основе процессоров общего назначения Приведена оценка вычислительной сложности проектируемого устройства

Во второй главе предложено решение проблемы помехоустойчивого приема в средах с многолучевым распространением при помощи детектора, работающего на основе правила максимального правдоподобия принятой последовательности совместно с использованием независимых во временной области субпоследовательностей

Оптимальный детектор можно реализовать как фильтр, согласованный с откликом канала h(t) на сигнальный импульс (то есть в виде коррелятора, использующего в качестве опорных сигналов не сами сигналы, а их свертку с импульсной характеристикой (ИХ) канала) после которого используется стробирующее устройство с последующей оценкой полученной цифровой последовательности на основе Ml 11111 критерия.

В таком случае принимаемый сигнал описывается как r(t) = - лГ) + ¿(0,

где z(i) - АБГШ (аддитивный белый гауссовский шум), I, - переданные информационные символы

N

Представим принимаемый сигнал в виде. >)(') = J,™ где {/к (t)} -

полная система ортонормированных функций, а {г,} - наблюдаемые на фоне шума случайные величины, полученные проектированием г, (0 на ансамбль {/4 (/)}. В пределе, когда ¿V - число принимаемых символов становится неограниченным, логарифм p{r„ \ 1Р) пропорционален метрикам РМ{1р), определяемым так.

РМ(1„) = -] r,(t)"пТ) dt = -\,{tfdt + 2Re£ Г, ]r,{t)h\t-KT)dt

—CO Я «с Л —00

Максимально-правдоподобные оценки символов /,/2 /,-это те, которые

00

максимизируют РМ(1р), интеграл

есть выход согласованного с

-со

h{t) фильтра, значения которого можно получить стробированием-»

у„ =у(лГ)= Jr, (/)А" (г—иГ)сй. На основе у„ можно сформировать набор корреляци-

' ^ \

онных метрик, описываемых как- СМ(1р) = 2Re £ /л х„,п

Ч Л / Л M

Алгоритм детектирования символов согласно критерию максимального правдоподобия можно представить следующим образом.

Пусть детектор принимает символ Ь0(О, при этом задержка принятия решения равна длительности межсимвольной интерференции (МСИ). = LT, где L -длительность МСИ. Тогда принимаемый сигнал r(t) можно представить как: /•(f) = î„'"(/) + sbt (/)+sbi (0 + z(i), где î0w(O- сигнал, обусловленный анализируемым символом Ь,(0, i е О, Л/ -1, Л/ - мощность передаваемого алфавита; (t) - gMm (i) -сигнал, который определяет остаточный сигнал МСИ, обусловленный символами, переданными до анализируемого, вектор Ьк определяется цепочкой символов, предшествующих анализируемому, s,(t) = ga(i) - сигнал, который определяет

сигнал МСИ, обусловленный символами, переданными после анализируемого; вектор Ь, определяется цепочкой символов, переданным после анализируемого. Рассмотрим отношение правдоподобия на интервале (L+1)7" :

д /I ,,

w[r \ш\

Оптимальный поэлементный приемник по правилу максимального правдоподобия должен выполнить усреднение по всем возможным цепочкам символов bk и Ь,, а затем выбрать максимум ¿0'° по /. Алгоритм его работы можно записать

,__ __Jr 11, b о ь I

как i0 = Argшах|Л((Ьк,£>,)], где Л,(М/) = Л) 1 'J; g-

' 1 ' 4-1 м Щ.г IЩ

число интервалов взятых для анализа, обычно выбираемого как Q s L.

Для упрощения схемы приема были предложены два алгоритма, реализующие оптимальный прием по принципу максимального правдоподобия Первый из них был предложен Д Д Кловским в 1960 г. Суть его сводится к идее использования обратной связи по решению, то есть оценки полученные в приемнике до анализируемого символа, полагаются достоверными, следовательно, известна надежная оценка МСИ gxm(J) •

В этом случае алгоритм сводится к виду

/о = Argmzxi^Pib,) 1 г Z ^ ,

те обработке подвергается разностный сигнал r(t)-gam(t) Число перебираемых гипотез Mitl Данный алгоритм именуется в литературе алгоритмом Кловского-Николаева (АКН)

Второй подход был предложен Форни (G. David Form, JR.) в 1972 г и заключается в следующем - МСИ на выходе демодулятора можно представить как машину с конечным числом состояний, что позволяет представить выход канала с МСИ диаграммой решетки, а оценки максимального правдоподобия определяются наиболее вероятным путем по решетке Очевидно, алгоритм Витерби обеспечивает эффективный поиск по такой решетке.

Алгоритм МППП для канала с МСИ имеет вычислительную сложность, которая возрастает экспоненциально с длиной временного рассеивания в канале Для описанных выше случаев, алгоритм Витерби и АКН вычисляют М1*' метрик

на каждый принимаемый символ соответственно Можно сказать, что вычислительная сложность С, на один переданный символ равна С,&М м.

Следующий разработанный метод позволяет существенно упростить схему приема.

Пусть число интерферирующих компонент в канале связи равно (¿ + 1) Обозначим период последовательности как Т„=(1 + \)Т. Разобьем передаваемую последовательность на субпоследовательности длительностью Я интервалов, причем (I +1) £ Д > 0. Введем временной зазор длительностью С интервалов между передачами двух соседних субпоследовательностей (см. рисунок 1). Для независимости субпоследовательностей друг от друга с точки зрения МСИ, должно выполняться условие: (О + Я) ^ (£ + !)• С точки зрения скорости передачи и пропускной способности, мы должны выбирать параметр (7 как можно меньшим Таким образом, оптимальный размер й равняется (£ + 1-Д) интервалов Определим „ ¿ + 1

скважность как О =-

X

При таком способе организации передачи можно применить прием в целом, те детектировать субпоследовательность целиком Обозначим каждую субпоследовательность как у, =Ьал .,Ь„, Очевидно, вектора, определяемые предшествующими и последующими символами по отношению к V, являются нулевыми Тогда

• , , ч*'И/>к]1 алгоритм оптимального приема выглядит как /о = лг£гпах< ¿.р[у)—р-^ У

1 н>[г(ои«]]

Субпоспндма тольиос! ь {К ннтвроолоо) 5 Субпослвдооотвлыюсгь (Я нитереапоо)

5

ОшцкиыА ыэор ((.«(•Кинтгродпоо) ШШШШ, Цыыимьм iíua[) (1>1 н интервале»)

3 £ В 5

- ПослдомгАлыюетъ ((.* 1 интервалов) Послмомгапыюегь |1 •! иит»рмлов>

• Б *

Рисунок 1 - Организация передачи с использованием субпоследовательностей.

Для канала с квазибелым гауссовским стационарным шумом метрики могут

быть определены как евклидовы следующим образом ^ (^А,)=

О

При передаче равновероятных субпоследовательностей алгоритм принимает вид

Разработанный алгоритм характеризуется постоянной задержкой, причем длительность задержки меньше или равна длительности задержки при использовании АКН. Задержка при принятии решения о переданной субпоследовательности V, равна Т^ = (Л - 1)Г = (I - й)Т,

Для полного использования энергии сигнала мы можем увеличить длительность анализа до 1Т. Вычислительная сложность разработанного алгоритма на

символ будет равна С2= .

Л

Уменьшение вычислительной сложности алгоритма по сравнению с алгоритмом Витерби или АКН при использовании приема в целом составляет:

С> —М" Л

На рисунке 2 представлен график данной зависимости для различных мощностей входного алфавита при значении 1 = 10.

Рисунок 2 - Уменьшение вычислительной сложности приемника по отношению к скорости передачи при использовании независимых субпоследовательностей для различных мощностей алфавита при длительности последовательности в 10 интервалов в зависимости от скважности.

Л_| _I_■ __' ""I

3 Э I 5 6 7 8 Э 10

Скмжностъ

Оценка параметров передачи производилась с помощью оптимизации крите-

_ тит „ ,

риальной функции л(С4,5) = ——— = ——, где 5- функция скорости переда-

мъо2

чи, обратно пропорциональная скважности.

Оптимальное значение скважности по критерию вычислительная сложность 1п М

к скорости передачи равно и - '1 .

Таким образом, выбор оптимального значения скважности зависит от мощности алфавита и числа интерферирующих компонент в канале связи. То есть использование алфавитов малой мощности а, следовательно, простейших схем модуляции предпочтительно при данном способе организации передачи. Кроме того, физические свойства канала связи (длительность межсимвольной интерференции) накладывают дополнительные ограничения на определение оптимальных параметров передачи. График зависимости оптимальной скважности от мощности алфавита и длины последовательности приведен на рисунке 3.

Рисунок 3 - Оптимальное значение приведенной скважности для соотношения вычислительная сложность к скорости передачи в зависимости от мощности алфавита передаваемых символов и периода последовательности.

Кроме возможности упрощения схемы декодирования принятой последовательности, данный метод позволяет повысить помехоустойчивость за счет осуществления приема в течение временного зазора длительностью о (с помощью увеличения длительности интервала анализа).

Большинство радиоканалов для персональных сетей может быть описано как частотно-селективные со временем инварианта много больше времени передачи символа Это позволяет получать метрики необходимые для декодирования непосредственно из принимаемых данных, путем передачи предопределенных тестовых субпоследовательностей, содержащих весь возможный набор символов. Число символов для передачи тестовых субпоследовательностей определяется как.

Для улучшения оценки можно применять метод временного усреднения белого шума, т.е передавать каждую последовательность несколько раз. Улучшение отношения сигнал/шум (ОСШ) описывается следующим выражением-

ОСШ= 101о . Здесь Р, - мощность сигнала, Рщ- мощность шума, г - количе-

ство передач каждой последовательности

В третьей главе представлено описание разработанного протокола передачи данных для беспроводных высокоскоростных сетей, включающее в себя алгоритмы работы физического уровня и блок-схемы функционирования устройства. В том числе-

Временные диаграммы, иллюстрирующие организацию передачи данных по протоколу для одновременной работы нескольких устройств в беспроводной сети с использованием коротких и длинных время частотных кодов (КВЧК, ДВЧК) Физический канал определяется уникальной периодической последовательностью смены частотных поддиапазонов во времени с квантом, кратным длительности временного слота Данная последовательность задается с помощью ДВЧК Таким образом, ДВЧК определяет использование конкретного частотного поддиапазона в каждый момент времени. Рисунок 4 иллюстрирует данный способ формирования каналов. Для обеспечения множественного доступа ДВЧК всех физических каналов в сети должны быть непересекающимися. Кроме того, использование ДВЧК позволяет распределить сосредоточенную частотную помеху среди нескольких физических каналов и, тем самым, увеличить общую пропускную способность

Ы = Ш*

двчк

временной слот

К8ЧК частотный интервал

1

ДВЧК частотный интервал

кв НК временной СЛОТ — Защитный »р«мвнной интервал т

ДВЧК период

Рисунок 4 —Формирование физических каналов.

На рисунке 5 показана возможность адаптивного использования время частотных слотов для организации соединения. Каждый физический канал может быть использован в двух режимах - выделенном и распределяемом. Выделенный режим работы канала назначается между двумя узлами и служит для передачи потокового трафика (например, видео данных).

Р

Ухудшение помеховой

ситуации -использование одной поднесущей

"........~ Т

■ Направление от передающего узла к приемному

Направление от приемного узла к передающему

Рисунок 5 - Адаптивное использование время частотных слотов для организации соединения.

Основное достоинство данного режима заключается в том, что приемник и передатчик постоянно синхронизированы, то есть нет необходимости осуществлять периодическую передачу пилотных данных Кроме того, задача коммутации данных для физических каналов, работающих в таком режиме существенно проще по сравнению с пакетной коммутацией (при одинаковых скоростях передачи данных) Так, вместо анализа заголовков каждого пакета, возможна прямая коммутация цифровых данных, что гораздо проще со схемотехнической точки зрения

Алгоритм формирования субпоследовательностей для передачи, алгоритм детектирования субпоследовательностей приемным устройством, алгоритм символьной синхронизации при использовании МППП детектора.

Описание и блок схема устройства - адаптера беспроводной сети с использованием специализированных процессоров (рисунок 6) и процессоров общего назначения.

Принципиальная архитектура многоядерной цифровой обработки сигналов на примере разработанных методов передачи данных

Расчет вычислительной сложности алгоритма детектирования сигналов при использовании многоядерной IA-32/64 архитектуры

Принципиальная архитектура многоядерной цифровой обработки сигналов на примере разработанных методов передачи данных

Расчет вычислительной сложности алгоритма детектирования сигналов при использовании многоядерной IA-32/64 архитектуры.

В четвертой главе приведены результаты моделирования разработанных методов передачи в условиях работы в средах с замираниями Для количественной оценки была реализована модель физического уровня беспроводной высокоскоростной сети, работающей в области частот [3,1 - 10,6] ГГц Получены графики помехоустойчивости при использовании различных способов модуляции, параметров приемопередачи (скважность, точность АЦП, точность оценки ИХ канала - см рисунки 7-9)

В качестве моделей канала связи использовались теоретические модели канала связи, адекватно отражающие распространение сигналов в условиях помещений, рекомендованные комитетом IEEE: СМ1, СМ2, СМЗ, СМ4 (СМ - Channel model), с различными параметрами замираний и условий приема при наличии и отсутствии прямого луча

..ПЛОА.

щтврфеис \ упрвалвнвГ'у

Опалми процессор

■Н Ю*

частотной Коммутации»«

сятш 1« матрица

(^мбраонпйк часялыа область осиоамй полосы

п

ГОД «асюп осиоаи •область айяэлхм

Рисунок 6 - Адаптер беспроводной сети — блок-схема устройства с использованием специализированных сигнальных

процессоров. 16

На рисунке 9 приведена зависимость помехоустойчивости разработанного приемника при различной точности оценки ИХ канала связи, измеряемой в единицах сдвига отношения сигнал/шум, по сравнению с идеальной оценкой.

Моделирование показало преимущество разработанного протокола по сравнению с существующими на основе OFDM по критерию помехоустойчивости на 1-3 дБ в зависимости от характеристик канала связи (при приблизительно равных скоростях передачи и коэффициенте частотного использования). При помощи проведенного анализа пропускной способности сети определены накладные расходы, связанные с необходимостью передачи служебной информации (тестовые, синхронизирующие субпоследовательности).

ЕЫМо^Б)

Рисунок 7 - Помехоустойчивость разработанного физического уровня при использовании модели канала СМ1, СМ4, Скважность =2. Скорость передачи 400 Мбит/с. Идеальная оценка ИХ канала

13 14 15 16

отношение сигнал/шум (дБ)

Рисунок 8 - Помехоустойчивость разработанной схемы приема в зависимости от длительности интервала, обеспечивающего независимость субпоследовательностей (скважности). Модель канала СМЗ, тип модуляции ФМ-2, неидеальная

оценка ИХ канала

I ю '3 Е

Сд»гОСШ(дБ)*0 Сд»иг ОСЩ ЦБ)*1 Сд|мОСШ(дБ)"3 Сд>игОСШ(д6)»5 СдмгОСШиБ)»?

ИД|«ЛЬН1Я ощиа ИХ

12 14 16 18

<ННОШ«ИМв сигкад/шуи (дБ)

22

Рисунок 9 - Помехоустойчивость разработанной схемы приема в зависимости от точности оценки ИХ канала связи. Модель канала СМЗ, тип модуляции ФМ-2, неидеальная оценка ИХ канала

В заключении диссертации сформулированы основные результаты выполненной работы:

1 Проанализированы существующие методы построения высокоскоростных беспроводных систем, работающих в средах со значительным влиянием замираний Выявлены их основные недостатки как с точки зрения качества и скорости передачи, так и со стороны схемотехнической сложности импле-ментации устройства - адаптера сети

2. Для решения задачи помехоустойчивого приема в каналах с многолучевым распространением был применен детектор, работающий по принципу максимального правдоподобия принятой последовательности. Отличительной особенностью данного типа детекторов являются высокие вычислительные затраты на детектирование последовательностей символов. Упрощение схемы приема достигается с помощью передачи данных на основе субпоследовательностей, т е последовательностей независимых во временной области, использования простейших (бинарных) типов модуляции и приема в целом Для поддержания необходимой скорости передачи, информация может передаваться на нескольких поднесущих одновременно, что также позволяет адаптивно управлять параметрами соединения в зависимости от текущей помеховой обстановки В ходе теоретического анализа найдены оптимальные параметры передачи по критерию скорость/вычислительная сложность приема при различных мощностях используемого алфавита.

3. В отличие от существующих беспроводных систем связи с коммутацией пакетов, для увеличения пропускной способности сети и уменьшения задержек используется гибридная схема коммутации, т е. коммутация каналов в случае высокоскоростного потокового трафика и коммутация пакетов для низкоскоростного служебного трафика.

4. Разработанные методы легли в основу протоколов передачи данных, архитектурный дизайн которых представлен как с использованием специализированных сигнальных процйссоров, так и на основе процессоров общего назначения. Рассчитанная вычислительная сложность оптимизированных алгоритмов цифровой обработки сигналов на базе 1А-Э2 архитектуры с различным числом ядер показала возможность поддержки высоких скоростей передачи при использовании разработанных протоколов на базе современных процессоров.

СПИСОК ОПУБЛИКОВАННЫХ РАБОТ ПО ТЕМЕ ДИССЕРТАЦИИ

1. Архитектура самоорганизующихся беспроводных сетей, использующих сверхширокополосные сигналы [Текст] / В Ю. Аристархов [и др.] // Труды HI ТУ Радиоэлектронные и телекоммуникационные системы и устройства -Т. 44 - Вып 9. -Н.Новгород: НГТУ. - 2004. - С. 83-91

2. Разработка физического уровня и моделирование персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] / В Ю. Аристархов [и др ] // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства - Т. 44. - Вып. 9. - H Новгород- НГТУ -2004 - С 75-83

3. Аристархов В.Ю. Разработка и моделирование работы физического уровня для персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] // Молодежь и современные информационные технологии Сборник трудов II Всероссийской научно-практической конференции студентов. - Томск, ТПУ, 25-26 февраля 2004г. - Томск: ТПУ. - 2004

4. AD-НОС Circuit Switching Wireless Network Based on the UWB Technology [Текст] / Vasily Aristarhov [et al.] // 2nd IEEE International Conference on Circuits and Systems for Communications - 2004.- P 969-975.

5. Аристархов В.Ю., Кучинова Д.В., Швецова T.A. Основные положения анализа и способов построения систем связи использующих сверхширокополосные сигналы [Текст] /Аристархов В.Ю, Кучинова Д В, Швецова ТА // Труды НГТУ Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 55. - Вып. 10 - H Новгород: НГТУ. - 2005. - С. 92-99.

6. Аристархов В.Ю., Казакова О.В., Кучинова Д.В., Швецова Т.А. Аспекты построения физического уровня беспроводной высокоскоростной сети [Текст] / Аристархов В Ю, Казакова О В , Кучинова Д В, Швецова ТА// Сборник трудов второй международной научно-практической конференции "Исследование, разработка и применение высоких технологий в промышленности" -Спб.. Изд-воПолитехи, ун-та -2006,- Т.4.-С. 14-19.

7. Аристархов В.Ю., Крылов В.В. Исследование и разработка физического уровня для высокоскоростных беспроводных сетей с коммутацией каналов [Текст] / Аристархов В.Ю., Крылов В.В // Сборник трудов седьмой всероссийской научно-технической конференции "Современные проблемы радиоэлектроники". - Красноярск: КГТУ - 2006. - С. 520-523.

8. Аристархов В.Ю., Крылов В.В. Разработка физического уровня сверхширокополосной беспроводной сети с коммутацией каналов [Текст] / Аристархов

В Ю, Крылов В В // Сборник трудов международной конференции "Математические методы в технике и технологиях" - Воронеж. - 2006. - Т. 8. - С

9. Vasily Aristarkhov, Vladimir Krylov. The architecture design of physical layer for high data-rate wireless networks [Текст] / Vasily Aristarkhov, Vladimir Krylov // The 10th World Multi-Conference on Systemics, Cybernetics and Informatics. -ISBN 980-6560-65-5 (Collection), 980-6560-67-1 (Volume II) -2006 - P. 175177

10. Аристархов В.Ю. Высокоскоростная беспроводная сеть передачи данных с множественным доступом на основе коммутации каналов [Текст] // Журнал научных публикаций аспирантов и докторантов / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" - Курск -2007№7-8 -ISSN 1991-3087.- С.105-106.- 1000экз.

11. Аристархов В.Ю. Крылов В.В. Построение физического уровня беспроводной сети на основе время частотных кодов [Текст] // Журнал научных публикаций аспирантов и докторантов / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" - Курск - 2007 №7-8 - ISSN 1991-3087 - С 100-103 - 1000 экз.

12. Аристархов В.Ю., Казакова О.В. Конвергенция информационных технологий с позиций концепции технологического детерминизма [Текст] // Журнал научных публикаций аспирантов и докторантов / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" - Курск. -2007 №7-8. - ISSN 1991-3087 '- С.103-105. - 1000 экз.

13. Vasily Aristarkhov Circuit-switching physical layer based on time-frequency coding for multi-band UWB wireless networks [Текст] / Vasily Aristarkhov // The International Wireless Communications and Mobile Computing Conference 2008 - Greece, 2008. - in press

14. Пат. 2291572 Российская Федерация, МПК Н 04 В 7/24, Н 04 J 4/00. Способ беспроводной локальной связи / В Ю Аристархов [и др.], патентообладатель АНО УНЦ "Радиотехника" - № 2005110517/09, заявл 11.04 05; опубл 10 01 07, Бюл №1

185-187.

Подписано в печать 26.06.08. Формат 60x84/16. Объем 1,3 усл.п л.

_Тираж 100 экз. Заказ 112._

ООО «Инсвязьиздат». Москва, ул. Авиамоторная, 8.

Оглавление автор диссертации — кандидата технических наук Аристархов, Василий Юрьевич

СОДЕРЖАНИЕ.

ВВЕДЕНИЕ.

ГЛАВА 1. РАЗВИТИЕ БЕСПРОВОДНЫХ СИСТЕМ. СУЩЕСТВУЮЩИЕ МЕТОДЫ ПОСТРОЕНИЯ ПРИЕМОПЕРЕДАЮЩЕГО ТРАКТА.

1.1 Архитектура беспроводных самоорганизующихся сетей с переменной топологией. Существующие стандарты персональной беспроводной связи.

1.2 Физический уровень на основе сверхширокополосных сигналов как приоритетный вариант построения беспроводных систем связи.

1.3 Вычислительные платформы с процессорами общего назначения для локальных систем связи.

ГЛАВА 2. МЕТОДИКА РАЗРАБОТКИ ФИЗИЧЕСКОГО УРОВНЯ БЕСПРОВОДНОЙ СЕТИ НА ОСНОВЕ ТЕОРЕТИЧЕСКОГО АНАЛИЗА СПОСОБОВ ПОСТРОЕНИЯ СИГНАЛЬНЫХ КОНСТРУКЦИЙ.

2.1 Характеристики качества оптимального приемника для модуляции без памяти. Сравнение различных типов модуляции.

2.2 Обеспечение необходимой скорости передачи в рамках разрабатываемого физического уровня. Компромисс - скорость передачи/помехоустойчивость/простота реализации.

2.3 Последовательный детектор максимального правдоподобия как пример оптимальной демодуляции для каналов с памятью.

2.3.1 Оптимальный приемник для канала с межсимвольной интерференцией.

2.3.2 Алгоритм Кловского-Николаева для оптимального посимвольного приема.

2.3.3 Модель канала дискретного времени с межсимвольной интерференцией.

2.3.4 Алгоритм Витерби для модели канала с дискретным временем и белым шумом.

2.3.5 Методы решения проблемы вычислительной сложности алгоритма МППП для декодирования принятой последовательности. Разработанный алгоритм оптимального приема в целом на основе частотно-временных кластеров данных.

2.3.6 Помехоустойчивость алгоритма МППП для канала связи с МСИ при использовании независимых субпоследовательностей. Сравнение качества с существующими алгоритмами.

2.4 Синхронизация. Особенности синхронизации в сверхскоростных беспроводных сетях.

2.4.1 Фазовая синхронизация.

2.4.2 Символьная синхронизация.

2.4.3 Сетевая синхронизация.

2.5 Спектральная эффективность разработанных методов передачи информации.

ГЛАВА 3. ПРАКТИЧЕСКАЯ РЕАЛИЗАЦИЯ АЛЬТЕРНАТИВНОГО ФИЗИЧЕСКОГО УРОВНЯ БЕСПРОВОДНОЙ ВЫСОКОСКОРОСТНОЙ СЕТИ.

3.1 Принцип работы альтернативного физического уровня, разработанного на основе предложенных методов передачи данных.

3.2 Реализация синхронизации с использованием МППП детектора.

3.3 Принципиальная схема устройства — адаптера беспроводной сети. Блок-схемы и алгоритмы работы физического уровня.

3.3.1 Блок-схемы устройства.

3.3.2 Алгоритм формирования сигнальных конструкций и демодуляции данных.

3.4 Реализация методов параллельного формирования сигнальных конструкций и адаптивного декодирования на основе процессоров общего назначения с различным количеством вычислительных ядер.

3.5 Способы организации излучающих структур.

ГЛАВА 4. КАЧЕСТВО РАЗРАБОТАННЫХ АЛГОРИТМОВ ПЕРЕДАЧИ ИНФОРМАЦИИ В ВЫСОКОСКОРОСТНЫХ БЕСПРОВОДНЫХ СЕТЯХ НА ОСНОВЕ РЕЗУЛЬТАТОВ МОДЕЛИРОВАНИЯ ПРИЕМО-ПЕРЕДАЮЩЕГО ТРАКТА.

4.1 Имплементация физического уровня беспроводной сети в области частот [3,1-10,6] ГГЦ как пример использования разработанных методов передачи. Потенциальная пропускная способность. Частотное планирование.

4.2 Теоретические модели каналов связи, используемые при проектировании беспроводных систем связи.

4.3 Помехоустойчивость различных типов простейшей модуляции (ФМ-2, ЧМ-2, ЧММС).

4.4 Помехоустойчивость при различной скважности.

4.5 Помехоустойчивость при различной точности оценки импульсной характеристики канала связи и точности АЦП.

4.6 Исследование спектральной эффективности различных методов модуляции при построении многополосных систем связи.

4.7 Основные характеристики разработанного физического уровня в сравнении с существующими методами передачи информации в беспроводных высокоскоростных системах.

Введение 2008 год, диссертация по радиотехнике и связи, Аристархов, Василий Юрьевич

На сегодняшний день острой проблемой развития высокоскоростных персональных сетей стало отсутствие доступного, технически реализуемого решения для физического уровня. До недавнего времени технологии 802.15.1 (коммерческое название - Bluetooth) и 802.11 (коммерческое название Wi-Fi) были единственными способами организации сетей. Скорости передачи данных в них (до 100 Мбит/с) явно не соответствовали возрастающим потребностям пользователей. В феврале 2002 года Федеральная Комиссия по связи США (FCC - Fédéral Communications Commission) предложила использовать частотный диапазон [3,1-10,6] ГГц для коммерческих приложений [1], что послужило поводом созданию альянса компаний MultiBand OFDM association (МВОА) во главе с фирмой Intel. Результатом работы альянса стала выработка предложений для комитета института IEEE (Institute of Electrical and Electronics Engineers) по организации физического уровня и пользовательского доступа для высокоскоростных беспроводных сетей. Суть данных предложений сводилась к разбиению предложенного диапазона на поддиапазоны, ведению передачи поочередно в каждом из поддиапазонов и использованию технологии ортогонального уплотнения несущих (Orthogonal Frequency Division Multiplexing - OFDM) для борьбы с многолучевостью [2]. На канальном уровне планировалось использовать метод временного разделения каналов с коммутацией пакетов для организации множественного доступа.

Из-за ряда существенных недостатков данного способа передачи информации описанные выше предложения не приобрели статуса стандарта в комитете IEEE. Основными проблемами стали: технологическая сложность устройств, высокая стоимость, невозможность обеспечить высокую пропускную способность. На текущий момент разработаны прототипы устройств беспроводной универсальной последовательной шины (wireless universal sériai bus - WUSB), где используется физический уровень с максимальной скоростью передачи 480 Мбит/с, работающий в полосе 1,5 ГГц, что покрывает лишь 20% разрешенного диапазона.

Таким образом, одной из актуальных научных проблем телекоммуникации и развития систем связи является разработка методов организации высокоскоростного приемо-передающего тракта в персональных беспроводных сетях с возможностью масштабирования частотного диапазона и устойчивой работы в условиях сложной помеховой ситуации. Именно высокоскоростная передача данных представляет особый интерес, т.к. эта технология позволяет заместить имеющиеся проводные каналы (соединения между компьютерами, соединения системного блока с монитором и т.д.) беспроводными, существенно упростив высокоскоростной доступ в сеть [3].

Объектом исследования данной работы являются методы организации передачи данных в беспроводных сетях.

Предмет исследования — физический уровень беспроводной сети.

Цель работы — анализ и разработка архитектуры, протоколов и алгоритмов обработки сигналов для высокоскоростных беспроводных персональных самоорганизующихся сетей передачи данных с переменной топологией (AD-НОС) и широким набором требований по качеству обслуживания.

Научная новизна диссертации состоит в разработанных методах передачи данных на основе субпоследовательностей, позволяющих существенно упростить вычислительную сложность детектора, работающего по принципу максимального правдоподобия принятой последовательности (МППП). Использование МППП детектора реализует оптимальный прием сигналов в целом в каналах с межсимвольной интерференцией (МСИ) и повышает помехоустойчивость по сравнению с посимвольным приемом, используемом в известных алгоритмах Витерби и АКН [4]. Кроме того, разработанный метод приема позволяет избежать процедуры оценки ИХ канала связи и вычисления свертки полученной оценки с принимаемыми данными, взамен чего опорные последовательности формируются из тестовых данных напрямую. Для предложенных алгоритмов детектирования сигналов в работе приведен анализ помехоустойчивости и найдена верхняя граница вероятности ошибочного приема для каналов с МСИ.

На основе предложенных методов разработан протокол передачи данных для высокоскоростных беспроводных сетей. В целях повышения пропускной способности сети и организации множественного доступа в протоколе используется технология быстрого и медленного перескока частот (ПРЧ), посредством которой формируются частотно-временные кластеры, на основе которых была разработана гибридная схема коммутации данных [5].

Суть гибридной схемы состоит в использовании коммутации пакетов для передачи служебного и низкоскоростного трафика и коммутации каналов для высокоскоростного потокового трафика. Преимуществом данной схемы является низкий уровень задержек (критичных для мультимедийных данных), эффективное использование предоставленного частотного диапазона и возможность применения элементов кросс-уровневого взаимодействия для адаптивного изменения качества обслуживания, что полностью соответствует поставленным критериям работы физического уровня.

Для разработки устройства - адаптера беспроводной сети, предложен новый метод проектирования приемопередающего тракта, являющийся логическим продолжением архитектуры Армстронга [6], на основе которой построено большинство современных беспроводных устройств. Суть подхода сводится к замене специальных блоков для детектирования данных в приемо-передающем тракте (например, модулей беспроводного доступа 802.1х) процессорами общего назначения (general propose unit - GPU) с многоядерной гомогенной архитектурой, которые используются совместно с такими блоками во многих цифровых устройствах (таких как персональный компьютер, переносной компьютер, мобильный коммуникатор и т.д.). Таким образом появляется возможность создания универсального адаптера беспроводной связи с возможностью поддержки всех доступных протоколов передачи данных. Более того, при разработке и поддержке новых протоколов возникает необходимость добавления новой функциональности в программное ядро вместо проектирования новых коммутационных устройств. Технологическую реализуемость данного подхода иллюстрируют вычислительные способности современных GPU (например, производительность процессора Intel Core Duo 2.66 GHz составляет 20 миллиардов операций в секунду (Giga Instruction Per second - GIPS), Intel Xeon 2CPU x 4Core - порядка 100 GIPS, а графического процессора Nvidia -порядка 300 GIPS) [7,8], что с учетом низкой вычислительной сложности разработанных схем детектирования говорит о возможности использования серийных процессоров для сигнальной обработки в высокоскоростных беспроводных сетях связи.

Также, в работе приведен теоретический расчет оптимальных параметров передачи данных для класса приемо-передающих устройств, использующих технологию ПРЧ в каналах связи с замираниями. Практическую ценность исследования представляют: разработанные методы передачи информации в средах с сильным влиянием замираний, отличающиеся высокой помехоустойчивостью и сравнительно низкой вычислительной сложностью; разработанный метод анализа параметров канала связи без оценки ИХ канала; разработанная схема коммутации цифровых данных для повышения пропускной способности беспроводных высокоскоростных сетей; внедрение результатов работы в исследованиях и разработках компании AHO УНЦ "Радиотехника".

Апробация результатов исследования. Основные положения диссертации изложены в следующих публикациях автора: 1. Архитектура самоорганизующихся беспроводных сетей, использующих сверхширокополосные сигналы [Текст] /В.Ю. Аристархов [и др.] // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 44. - Вып. 9. — Н.Новгород: НГТУ. - 2004. - С. 83-91.

2. Разработка физического уровня и моделирование персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] / В.Ю. Аристархов [и др.] // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 44. — Вып. 9. — Н.Новгород: НГТУ. - 2004. - С. 75-83.

3. Аристархов В.Ю. Разработка и моделирование работы физического уровня для персональных беспроводных сетей, использующих сверхширокополосные сигналы [Текст] // Молодежь и современные информационные технологии: Сборник трудов II Всероссийской научно-практической конференции студентов. — Томск, ТПУ, 25-26 февраля 2004г. - Томск: ТПУ. - 2004.

4. AD-HOC Circuit Switching Wireless Network Based on the UWB Technology / Vasily Aristarhov [et al.] [Текст] // 2nd IEEE International Conference on Circuits and Systems for Communications. - 2004. — P. 969975.

5. Аристархов В.Ю., Кучинова Д.В., Швецова Т.А. Основные положения анализа и способов построения систем связи использующих сверхширокополосные сигналы [Текст] /Аристархов В.Ю., Кучинова Д.В., Швецова Т.А. // Труды НГТУ. Радиоэлектронные и телекоммуникационные системы и устройства. - Т. 55. — Вып. 10. — Н.Новгород: НГТУ. - 2005. - С. 92-99.

6. Аристархов В.Ю., Казакова О.В., Кучинова Д.В., Швецова Т.А. Аспекты построения физического уровня беспроводной высокоскоростной сети [Текст] / Аристархов В.Ю., Казакова О.В., Кучинова Д.В., Швецова Т.А. // Сборник трудов второй международной научно-практической конференции "Исследование, разработка и применение высоких технологий в промышленности". — Спб.: Изд-во Политехи, ун-та. - 2006. - Т.4. - С. 14-19.

7. Аристархов В.Ю., Крылов B.B. Исследование и разработка физического уровня для высокоскоростных беспроводных сетей с коммутацией каналов [Текст] / Аристархов В.Ю., Крылов В.В. // Сборник трудов седьмой всероссийской научно-технической конференции "Современные проблемы радиоэлектроники". -Красноярск: КГТУ. - 2006. - С. 520-523.

8. Аристархов В.Ю., Крылов В.В. Разработка физического уровня сверхширокополосной беспроводной сети с коммутацией каналов [Текст] / Аристархов В.Ю., Крылов В.В. // Сборник трудов международной конференции "Математические методы в технике и технологиях" - Воронеж. - 2006. - Т. 8. - С. 185-187.

9. Vasily Aristarkhov, Vladimir Krylov. The architecture design of physical layer for high data-rate wireless networks [Текст] / Vasily Aristarkhov, Vladimir Krylov // The 10th World Multi-Conference on Systemics, Cybernetics and Informatics. - ISBN: 980-6560-65-5 (Collection), 980-656067-1 (Volume II). - 2006. - P. 175-177.

10. Аристархов В.Ю. Высокоскоростная беспроводная сеть передачи данных с множественным доступом на основе коммутации каналов. // Журнал научных публикаций аспирантов и докторантов [Текст] / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" - Курск. - 2007 №7-8. - ISSN 1991-3087. - С. 105-106. -1000 экз.

11. Аристархов В.Ю. Крылов В.В. Построение физического уровня беспроводной сети на основе время частотных кодов // Журнал научных публикаций аспирантов и докторантов [Текст] / учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов" — Курск. - 2007 №7-8. - ISSN 1991-3087. - С.100-103. - 1000 экз.

12. Аристархов В.Ю., Казакова О.В. Конвергенция информационных технологий с позиций концепции технологического детерминизма // Журнал научных публикаций аспирантов и докторантов [Текст] /

10 учредитель ООО "Редакция Журнала научных публикаций аспирантов и докторантов". - Курск. - 2007 №7-8. - ISSN 1991-3087. - С.103-105. -1000 экз.

13. Vasily Aristarkhov. Circuit-switching physical layer based on time-frequency coding for multi-band UWB wireless networks [Текст] / Vasily Aristarkhov // The International Wireless Communications and Mobile Computing Conference 2008. - Greece, 2008. - in press.

14. Пат. 2291572 Российская Федерация, МПК H 04 В 7/24, H 04 J 4/00. Способ беспроводной локальной связи / В.Ю. Аристархов [и др.].; патентообладатель АНО УНЦ "Радиотехника". - № 2005110517/09; заявл. 11.04.05; опубл. 10.01.07, Бюл. № 1.

Среди вышеперечисленных материалов, три статьи были опубликованы в рецензируемом журнале ВАК "Журнал научных публикаций аспирантов и докторантов", от августа 2007 года. Кроме того, результаты работы внедрены в разработках компании АНО УНЦ "Радиотехника", что подтверждает акт внедрения (Приложение А). Также, на представленное в работе изобретение, имеется патент РФ № 2291572 "СПОСОБ БЕСПРОВОДНОЙ ЛОКАЛЬНОЙ СВЯЗИ" от ' 11 апреля 2005г. Зарегистрировано в Государственном реестре изобретений РФ 10 января 2007г. (Приложение Б). Для проведения исследования был получен грант №323 0709-4-56 по программе "Старт" Министерства Образования РФ.

Основные положения диссертации были представлены и обсуждены на следующих конференциях:

1. «Сверхскоростная беспроводная сеть с переменной топологией». Семинар. Нижегородский Государственный Университет. Декабрь 2004 г.

2. "Исследование, разработка и применение высоких технологий в промышленности". Санкт-Петербург, февраль 2006 г.

3. 10-я Научная конференция по радиофизике, Нижегородский Государственный Университет, Нижний Новгород 5 мая 2006 г. И

4. 19-ая Международная научная конференция "Математические методы в технике и технологиях". Воронеж 2006 г.

5. "The 10th World Multi-Conference on Systemics, Cybernetics and Informatics: WMSCI 2006", Orlando, Florida, July 2006.

Структура диссертационной работы.

В первой главе приводится описание существующих способов передачи информации в высокоскоростных беспроводных системах. Изложены их основные достоинства и недостатки, описаны причины, требующие разработки новых способов организации физического уровня. Приведена оценка вычислительной сложности проектируемого устройства.

Вторая глава посвящена теоретическому обоснованию разработанных методов передачи данных. Приведен качественный анализ помехоустойчивости и вычислительной сложности предложенных способов организации физического уровня. Найдены оптимальные параметры ведения передачи в условиях многолучевого распространения по критерию скорость передачи/вычислительная сложность.

В третьей главе изложены алгоритмы работы физического уровня, приведены схемы функционирования устройства — адаптера беспроводной сети, как на основе специализированных процессоров, так и на основе процессоров общего назначения. Для случая использования процессоров общего назначения приведены оптимизированные алгоритмы обработки данных с использованием параллельных вычислений на основе многоядерных систем. Кроме того, произведена оценка сложности алгоритмов и найдены максимальные скорости передачи данных в зависимости от вычислительных мощностей.

В четвертой главе приведены результаты моделирования разработанных методов передачи в условиях работы в средах с замираниями. Для моделирования были использованы модели каналов связи с многолучевым распространением, разработанные комитетом IEEE на основе эмпирических данных [9]. Результатом экспериментов стали графики

12 качества приема в зависимости от различных параметров, как-то отношение сигнал/шум, скорость передачи, точность оценки импульсной характеристики (ИХ) канала, точность аналого-цифрового преобразователя (АЦП), влияние фильтрации и т.д.

В конце каждой главы изложены выводы по результатам теоретических и экспериментальных этапов проведенного исследования.

Завершает диссертационную работу глоссарий, где приведена расшифровка терминов, используемых при изложении материала, библиографический список литературы и приложение, где приведены акт внедрения результатов исследования и патент на изобретение, представленное в диссертации.

Заключение диссертация на тему "Исследование и разработка методов передачи информации в высокоскоростных беспроводных сетях"

Выводы: Для количественной оценки характеристик разработанных методов передачи данных была реализована модель физического уровня беспроводной высокоскоростной сети, работающей в области частот [3,1 — 10,6] ГГц. Получены графики помехоустойчивости при использовании различных способов модуляции, параметров приемопередачи (скважность, точность АЦП, точность оценки ИХ канала). Моделирование показало преимущество разработанных протоколов по сравнению с существующими на основе OFDM по критерию помехоустойчивости на 1-3 дБ в зависимости от характеристик канала связи (при приблизительно равных скоростях передачи и коэффициенте спектрального использования). При помощи проведенного анализа пропускной способности сети определены накладные расходы, связанные с необходимостью передачи служебной информации (тестовые, синхронизирующие субпоследовательности).

Заключение

В диссертации проанализированы существующие методы построения высокоскоростных беспроводных систем, работающих в средах со значительным влиянием замираний. Выявлены их основные недостатки как с точки зрения качества и скорости передачи, так и со стороны схемотехнической сложности имплементации устройства — адаптера сети.

Для решения задачи помехоустойчивого приема в каналах с многолучевым распространением был применен детектор, основанный на принципе максимального правдоподобия принятой последовательности. Отличительной особенностью данного типа детекторов являются высокие вычислительные затраты на детектирование последовательностей символов. Упрощение схемы приема достигается с помощью передачи данных на основе субпоследовательностей, т.е. последовательностей, которые могут независимо детектироваться на приемной стороне, использования простейших (бинарных) типов модуляции и реализации приема в целом. Применение субпоследовательностей позволяет также избежать процедуры оценки ИХ канала, получая опорные последовательности непосредственно из тестовых данных. Для поддержания необходимой скорости передачи, информация может передаваться на нескольких поднесущих одновременно, что также позволяет адаптивно управлять параметрами соединения в зависимости от текущей помеховой обстановки.

В ходе теоретического анализа найдены оптимальные параметры передачи по критерию скорость/вычислительная сложность приема при различных мощностях используемого алфавита и длительности МСИ.

В отличие от существующих беспроводных систем связи с коммутацией пакетов, для увеличения пропускной способности сети и уменьшения задержек используется гибридная схема коммутации, т.е. коммутация каналов в случае высокоскоростного потокового трафика и коммутация пакетов для низкоскоростного служебного трафика.

Разработанные методы легли в основу протоколов передачи данных, архитектурный дизайн которых представлен как с использованием специализированных сигнальных процессоров, так и на основе GPU. Рассчитанная вычислительная сложность оптимизированных алгоритмов цифровой обработки сигналов на базе IA-32 архитектуры с различным числом ядер показала возможность поддержки высоких скоростей передачи при использовании разработанных протоколов на базе современных процессоров.

С помощью моделирования были получены кривые помехоустойчивости для физического уровня, оценена спектральная плотность мощности сигнала при использовании время частотных кодов. Произведенный сравнительный анализ с системами на основе OFDM в средах с различным влиянием замираний показал преимущества разработанных методов и эффективность предложенной архитектуры.

Глоссарий

AD-HOC network — беспроводная сеть с динамической топологией BER — bit error rate (коэффициент битовой ошибки)

CDMA - code division multiple access (множественный доступ с кодовым разделением каналов)

CPU - central processing unit (центральное вычислительное устройство) СМ - channel model (модель канала)

DS-CDMA - direct sequence CDMA (многостанционный доступ с кодовым разделением каналов и прямым расширением спектра)

DVI — digital video interactive (интерактивное цифровое видео (стандарт фирмы Intel; обеспечивает высокий аппаратный уровень сжатия полноэкранных видеоизображений, записываемых на оптический диск ))

Eb/No - bit energy to spectral noise power (отношение энергии информационного бита к спектральной плотности мощности шума)

EIRP — effective isotropic radiated power (эффективная изотропно-излучаемая мощность)

FLOPS - floating operations per second (число операций с плавающей точкой в секунду)

FCC — federal communications commission (Федеральная комиссия по средствам связи в США )

FSK — frequency shift keying (частотная модуляция)

GIPS — giga instruction per second (миллиард операций в секунду)

GPU — general purpose unit (процессор общего назначения)

IA - Intel architecture (архитектура процессоров фирмы Intel)

IEEE - institute for electrical and electronics engineers (Институт инженеров по электротехнике и электронике)

LOS - line of sight (условие прямой видимости)

MAC — media access control (уровень управления доступом к среде модели OSI)

MBOA - multiband OFDM Alliance (альянса компаний по созданию решения для персональных сетей на основе OFDM)

ММХ - multimedia extension (дополнительный набор команд для процессоров Intel)

NLOS — поп line of sight (условие непрямой видимости)

OFDM - orthogonal frequency division multiplexing (ортогональное мультиплексирование деления частоты)

OSI - open system interconnection (международная программа стандартизации обмена данными между компьютерными системами различных производителей на основе семиуровневой модели протоколов передачи данных в открытых системах)

PAN — personal area network (персональная сеть передачи данных) PCI - peripheral component interconnect (32-разрядная системная шина с возможностью расширения до 64 разрядов, взаимодействие через которую происходит без участия CPU)

PDA — personal digital assistant ("карманный" компьютер, предназначенный для выполнения некоторых специальных функций) PHY - physical layer (физический уровень модели OSI) PSK - phase shift keying (фазовая модуляция)

QAM - quadrature amplitude modulation (квадратурная амплитудная модуляция)

QoS — quality of service (качество и класс предоставляемых услуг передачи данных)

SIMD — single instruction multiply data (векторная команда процессора общего назначения)

SNR - signal-to-noise ratio (отношение сигнал/шум)

SoC — system-on-chip (микропроцессорное ядро с интегрированной логикой на одном кристалле)

SSE3 — streaming SIMD extension (расширение набора команд для векторных операций)

UWB — ultra-wideband (сверхширокополосный)

USB - universal serial bus (универсальная последовательная шина)

WLAN - wireless local area network (беспроводная локальная сеть)

WPAN — wireless personal area network (беспроводная персональная сеть)

WUSB — wireless universal serial bus (беспроводная универсальная последовательная шина)

АБГШ - аддитивный белый гауссовский шум

АКН - алгоритм Кловского-Николаева

AM - амплитудная модуляция

АРУ - автоматическая регулировка усиления

АЦП — аналого-цифровой преобразователь

БПФ - быстрое преобразование Фурье

ВЧК — время частотный код

ГУН — генератор управляемый напряжением

ДВЧК — длинный время частотный код

ИУ - импульсный усилитель

ИХ - импульсная характеристика

КВЧК — короткий время частотный код

KAM - квадратурная амплитудная модуляция

КОШ — коэффициент ошибок

КУ - коэффициент усиления

МППП - максимально правдоподобие принятой последовательности

МСИ - межсимвольная интерференция

МШУ - малошумящий усилитель

ОБПФ — обратное быстрое преобразование Фурье

ОСШ — отношение сигнал/шум

ПРЧ - перескок частот

РУ - решающее устройство

СКК - сигнально кодовая конструкция

СШП - сверхширокополосный

ФАПЧ — фазовая автоподстройка частоты

ФМ - фазовая модуляция

ЦАП - цифро-аналоговый преобразователь

ЧМ - частотная модуляция

ЧММС - частотная модуляция с минимальным сдвигом

Библиография Аристархов, Василий Юрьевич, диссертация по теме Системы, сети и устройства телекоммуникаций

1. Intel's Multi-band UWB PHY Proposal for IEEE 802.15.3a

2. Электронный ресурс. / Jeff Foerster at al.]; Intel Corporation. IEEE 802.15.3a Working Group, submission. Mar. 2003. - [Режим доступа]. — http://www.ieee802.org/15/pub/, свободный.

3. Roy Longbottom. PC CPU Performance Comparisons / Roy Longbottom Электронный ресурс. .-Электрон, дан. — December 2007. — [Режим доступа]. -http://homepage.virgin.net/roy.longbottom/cpuspeed.htm.свободный.

4. Nvidia CUDA Compute Unified Device Architecture. Version 1.1

5. Крылов B.B. Основы теории и техники сверхширокополосных сигналов в приложении к радиосвязи и навигации Текст. / В.В. Крылов // Радио электронные и телекоммуникационные системы и устройства. Межвузовский сборник научных трудов. — Н.Новгород: НГТУ. 1996.

6. D. Leeper. A Long Term View of Short Range Wireless Текст. / D. Loper // IEEE Computer, 2001. 2001. -No.6.

7. M. Laughlin. DS-UWB Physical Layer Submission to 802.15 Task Group 3a: IEEE P.802.15-02/368r5-SG3 Sub-committee Submission Текст. / M. Laughlin. -2004

8. Сюваткин B.C., Есипенко В.И., Ковалев И.П., Сухоребров В.Г.

9. WiMAX технология беспроводной связи: теоретические основы, стандарты, применение" Текст. / Сюваткин B.C., Есипенко В.И., Ковалев И.П., Сухоребров В.Г.; под ред. В.В. Крылова. — СПб.: Спб, БХВ-Петербург. - 2005.

10. EE Std. 802.11-b / IEEE committee. -New York: IEEE 1999. Proakis J.G. Digital Communication Текст. / Proakis J.D. — McGraw-Hill Book Company. New York. - 1983.

11. Б.Скляр. Цифровая связь. Теоретические основы и практическое применение: второе издание, исправленное Текст. / Б.Скляр; пер. с англ. М.: Издательский дом Вильяме. — 2003. — 1104 с.139

12. Дж. Прокис. Цифровая связь Текст. / Дж. Прокис; пер. с англ; под ред. Д.Д. Кловского. М.: Радио и Связь. - 2000. - 800 е.: ил.

13. Schawartz М. Information, Transmission, Modulation and Noise. Текст. /

14. Second Edition // MGraw-Hill, New York, 1970.

15. JI. Невдяев. CDMA: борьба с замираниями Электронный ресурс. / JI. Невдяев / Журнал Сети №9 2000. [Режим доступа]. -http://www.osp.rU/nets/2000/09/l41359/, свободный.

16. Теория электрической связи: Учебник для вузов Текст. / А.Г Зюко, Д.Д. Кловский, В.И. Коржик, М.В. Назаров; Под ред. Д.Д. Кловского. М.: Радио и связь. - 1999. - 432 С.: 204 ил.

17. С. W. Helstrom. Statistical Theory of Signal Detection Текст. / С. W. Helstrom // New York: Pergamon, 1960. Sect. IV. 5.

18. Кловский Д.Д. Передача дискретных сообщений по радиоканалам: 2-е Изд. Текст. / Кловский Д.Д. М.: Радио и связь. - 1982. — 304с.

19. G. David Forney. Maximum-Likelihood Sequence Estimation of Digital Sequences in the Presence of Intersymbol Interference Текст. / G. David Forney // IEEE Transactions of Information theory. — Vol. IT-18. No.3. — May 1972.-P. 363-378.

20. A. J. Viterbi. Convolution codecs and their performance in communication systems Текст. / A. J. Viterbi // IEEE Trans. Commun. Technol. vol. COM-19. - Oct. 1971.-P. 751-772.

21. Витерби А.Д., Омура Д.К. Принципы цифровой связи и кодирование Текст. / Пер. с англ. под. ред. К.Ш. Зигангирова. М.: Радио и связь. - 1982.-526 С.

22. Yannis Kopsinis, Sergios Theodoridis, Eleftherios Kofidis. AN

23. EFFICIENT LOWCOMPLEXITY CLUSTERING-BASED MLSE EQUALIZER FOR FREQUENCY-SELECTIVE FADING CHANNELS. Текст. / Yannis Kopsinis, Sergios Theodoridis, Eleftherios Kofidis; Dept. of Informatics and Telecommunications, University of Athens

24. Panepistimioupolis, Ilissia 15784, Athens, Greece. 11 EUSIPCO 2004; Notes XXXV.- ISBN 3-200-001-48-8.-2004.- P. 2310-2315. Forney G.D. The Viterbi Algorithm Текст. / Forney G.D. // Proceeding of the IEEE, vol.61, n.3, March, 1978, PP. 268-278.

25. AD-HOC Circuit Switching Wireless Network Based on the UWB Technology Текст. / Vasily Aristarhov [et al.] // 2nd IEEE International

26. Conference on Circuits and Systems for Communications. 2004. - P. 969-975.

27. A. Saleh and R. Valenzuela. "A Statistical Model for Indoor Multipath Propagation" Текст. / A. Saleh and R. Valenzuela. // IEEE JSAC. Vol. SAC-5.-No. 2.-Feb. 1987.-PP. 128-137.