автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.05, диссертация на тему:Временные интерполирующие преобразователи для контроля сигналов в устройствах хранения данных

кандидата технических наук
Медведев, Алексей Владимирович
город
Пенза
год
2010
специальность ВАК РФ
05.13.05
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Временные интерполирующие преобразователи для контроля сигналов в устройствах хранения данных»

Автореферат диссертации по теме "Временные интерполирующие преобразователи для контроля сигналов в устройствах хранения данных"

884603648

МЕДВЕДЕВ Алексей Владимирович

ВРЕМЕННЫЕ ИНТЕРПОЛИРУЮЩИЕ ПРЕОБРАЗОВАТЕЛИ ДЛЯ КОНТРОЛЯ СИГНАЛОВ В УСТРОЙСТВАХ ХРАНЕНИЯ ДАННЫХ

Специальность 05.13.05 - Элементы и устройства вычислительной техники и систем управления

1 О ИЮН 2010

Автореферат диссертации на соискание ученой степени кандидата технических наук

ПЕНЗА 2010

004603648

Работа выполнена на кафедре "Вычислительные машины и системы" государственного образовательного учреждения высшего профессионального образования "Пензенская государственная технологическая академия".

Научный руководитель - кандидат технических наук,

доцент Чулков В. А.

Официальные оппоненты: доктор технических наук,

профессор Султанов Б.В.; кандидат технических наук, Краснов Г.И.

Ведущая организация - ФГУП "ПНИЭИ" (г. Пенза).

Защита диссертации состоится "1/-" ^(ь 2010 г., в/у~" часов, на заседании диссертационного совета Д 212.186.01 в государственном образовательном учреждении высшего профессионального образования "Пензенский государственный университет" по адресу: 440026, г. Пенза, ул. Красная, 40.

С диссертацией можно ознакомиться в библиотеке государственного образовательного учреждения высшего профессионального образования "Пензенский государственный университет" и на сайте www.pnzgu.ru.

Автореферат разослан б> 5~ 2010 г.

Учёный секретарь диссертационного совета доктор технических наук,

профессор

Гурин Е.И.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность проблемы. В последние десятилетия цифровые методы представления и обработки сигналов не только получили повсеместное распространение в вычислительных устройствах и системах, но и составили основу управляющей, телекоммуникационной и измерительной техники. Точность преобразователей сигналов, в которых информативным параметром выступает временной интервал или фазовое отношение, определяется шагом дискретизации времени. В традиционных цифровых системах шаг дискретизации сигналов равен тактовому периоду, и сокращение кванта времени достигается путем увеличения тактовой частоты. Однако, хотя наблюдающийся прогресс микроэлектроники и оправдывает некоторые оптимистические прогнозы в отношении повышения рабочей частоты полупроводниковых приборов, всегда остаются задачи, решение которых требует кванта времени, существенно меньшего тактового периода.

Подобные задачи характерны для таких областей, как радиолокация и оптическая связь, измерение интервалов времени и фазовых сдвигов, фазовая синхронизация. Одна из областей, в которых важную роль играет размер кванта времени, - генерирование и контроль сигналов в информационных каналах устройств хранения данных, в частности в каналах чтения накопителей на магнитном носителе.

Поэтому одновременно с новыми технологическими способами повышения частоты прорабатывались также вопросы временной интерполяции тактового периода, то есть разделения его на части, размер которых мог служить новым квантом времени. Процедура разделения тактового периода (или в более общем случае произвольного интервала времени) применялась и прежде. В частности, об использовании для этой цели линий задержки в цифровых измерительных преобразователях известно из трудов В.М. Шляндина, в отношении систем синхронизации приемников на такую возможность указывал В. Линдсей. В современной технике процесс получения дополнительных точек отсчета внутри некоторого интервала времени получил название фазовой интерполяции (ФИ).

Развитие собственно интерполирующих цифровых систем преобразования сигналов, главным образом аналого-цифровых преобразователей интервалов времени, наблюдаемое в последнее десятилетие, опирается на технологические достижения микроэлектроники. Дополнительный толчок совершенствованию техники мультифазных систем дало появление на рынке электронных компонентов программируемых логических интегральных схем (ПЛИС), позволяющих оперативно создавать и проверять новые структуры и алгоритмы преобразования сигналов без трудоемких и дорогостоящих процедур разработки заказных БИС. Среди зарубежных исследований в области интерполирующих устройств и систем выделяются работы, выполненные в Стэнфордском университете (М. Хоровиц), в университете Оулу (Ю. Костамоваара, Т. Рахконена и А. Мянтиниеми), в евро-

пейском центре ядерных исследований CERN (Я. Арай, М. Мота, Е. Христиансен и др.), Национальном Тайваньском университете (П. Чен, Ш.-Ю. Лью). Вопросам преобразования сигналов посвящены многие работы отечественных исследователей, в том числе H.H. Коннова, Е.И. Турина, Е.А. Мелешко, Т.М. Демьян-чука, В.И. Нифонтова, H.A. Бессоновой и ряда других.

Цель и задачи исследования. Целью диссертационной работы является разработка и совершенствование преобразователей для контроля сигналов в информационных каналах устройств хранения данных с использованием принципа фазовой интерполяции.

Поставленная цель достигается решением следующих задач:

- обоснование способа фазовой интерполяции как технического приема сокращения кванта времени в преобразователях сигналов устройств хранения данных;

- исследование элементов и узлов временных интерполирующих преобразователей;

- анализ процесса воспроизведения сигналов данных в канале чтения накопителя на магнитном носителе и усовершенствование методики численного расчета вероятности ошибки;

- создание интерполирующих генераторов для программируемой задержки сигналов, фазовой синхронизации и имитации джиттера сигналов в информационных каналах устройств хранения данных;

- разработка интерполирующих преобразователей время-код с сокращенным "мертвым" временем между смежными циклами преобразования для оценки фазовых искажений сигналов воспроизведения;

- разработка и экспериментальное исследование интерполирующих устройств на ПЛИС.

Методы исследования основаны на математическом аппарате теории вероятностей и случайных процессов, теории цепей, цифровом имитационном моделировании, а также экспериментальном исследовании реализованных устройств.

Научная новизна состоит в развитии научных основ и анализе процессов фазовой интерполяции, а также в создании принципиально новых устройств преобразования сигналов с использованием способа ФИ для контроля информационных каналов в устройствах хранения данных, в том числе:

- получены аналитические выражения, описывающие процессы регулирования времени задержки элементов ФИ, которые обосновывают применение способа ФИ для повышения разрешающей способности цифровых преобразователей сигналов без повышения их тактовой частоты;

- усовершенствована методика расчета вероятности ошибки в процессе воспроизведения цифровых сигналов данных в накопителе на магнитном носителе, которая в отличие от известных методик учитывает джиттер синхросигна-

лов в его связи с джитгером сигналов данных, позволяет оценить фазовый запас канала чтения и уточнить требования к его параметрам;

- предложены новые технические решения интерполирующих устройств программирования задержки и генерирования импульсов с заданным джитте-ром. Разработанные устройства позволяют имитировать реальные цифровые сигналы в каналах чтения устройств хранения данных;

- разработаны новые схемы интерполирующих преобразователей однократных интервалов времени в цифровой код, работающие в реальном масштабе времени без выполнения продолжительных процедур оценки погрешности квантования, не требующие регулирования и калибровки и обладающие высокой стабильностью.

Практическая ценность. Использование результатов выполненных в диссертации исследований и разработок позволяют создать точные и производительные преобразователи сигналов для контроля каналов чтения в системах хранения данных. Применение разработанных интерполирующих генераторов позволяет имитировать реальные цифровые сигналы для оценки технических характеристик запоминающих устройств.

Реализация и внедрение результатов работы. Основные положения диссертационной работы, а также разработанные способы и устройства внедрены в ОАО "Радиозавод" и ФГУП "НИИЭМП". Результаты диссертационной работы использованы в проектах № 2.1.2/4257 "Разработка комплекса формальных моделей и их трансформаций для проектирования распределенных информационно-управляющих систем промышленной автоматики" и №2.1.2/5140 "Логические методы расчета и анализа надежности" аналитической ведомственной целевой программы "Развитие научного потенциала высшей школы (2009 - 2010 годы)".

Апробация работы. Основные результаты работы докладывались и обсуждались на международных и всероссийских научно-технических конференциях и семинарах, в том числе:

- III-VII Всероссийских научно-технических конференциях "Современные методы и средства обработки пространственно-временных сигналов" (2005-2009, г. Пенза);

- IV Международной научно-технической конференции "Искусственный интеллект в XXI веке. Решения в условиях неопределенности" (2006, г. Пенза);

- IV Межрегиональной научно-практической конференции студентов и аспирантов "Инновационные технологии в экономике, информатике, медицине и образовании" (2007, г. Пенза);

- Всероссийской выставке научно-технического творчества молодежи НТТМ (2007, г. Москва);

- Международной научно-технической конференции "Проблемы автоматизации и управления в технических системах" (2008,2009, г. Пенза);

- 63-й Научной сессии Российского НТОРЭС им. A.C. Попова, посвященной дню радио (2008, г. Москва);

- Научно-техническом семинаре "Системы синхронизации, формирования и обработки сигналов для связи и вещания" (2008, г. Ярославль, 2009, г. Воронеж).

Основные положения, выносимые на защиту

1. Аналитические выражения, описывающие процесс регулирования времени задержки в КМОП схемах ФИ, и их применение для проектирования элементов интерполирующих устройств.

2. Усовершенствованная методика расчета вероятности ошибки воспроизведения сигналов в накопителе на магнитном носителе, учитывающая джит-тер синхросигналов в его связи с джиттером сигналов данных.

3. Способ и структуры устройств генерирования задержки и цифровых сигналов с заданным уровнем джитгера.

4. Комплекс технических решений интерполирующих устройств для преобразования однократных интервалов времени в цифровой код.

Публикации. Основные результаты диссертации опубликованы в 16 печатных работах, из них две статьи в изданиях, рекомендованных ВАК и два патента на изобретения.

Структура и объем работы. Диссертационная работа состоит из введения, четырёх глав, заключения, списка литераяуры из 137 наименований, и приложений. Объем работы: 139 страниц основного машинописного текста, 96 рисунков.

СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность работы, сформулированы цели и задачи исследований, показаны научная новизна, практическая ценность, даны основные научные положения, выносимые на защиту и кратко излагается содержание диссертации.

В первой главе рассмотрена проблема повышения точности и производительности цифровых систем обработки информации в ее связи с установленным значением шага дискретизации времени. Процесс ФИ в цифровой системе может осуществляться с помощью цифровой линии задержки (ЦЛЗ), мульти-фазного кольцевого генератора (МКГ) или специальных элементов ФИ. Выполнено теоретическое исследование основных элементов мультифазных устройств, составляющих основу интерполирующих цифровых систем - элементов задержки и элементов ФИ.

Принцип действия элемента ФИ заключается в аналоговом сложении сигналов, имеющих перекрывающиеся во времени фронты, при котором фронт результирующего сигнала располагается между фронтами слагаемых сигналов.

При сложения двух гармонических колебаний x(t) и y(t) с одинаковой частотой и различными фазами:

Х(0 = ХН8Ш (вХ + <рх\

Выходное колебание г(1) также является гармоническим сигналом той же частоты

2{1) = 2пМсо1+<Рг), (2)

при этом

гт=у1х2т + У^2ХтУтсов(<рх-<рг),

ХтС05<рх + УтС05<рг

Из (3) следует, что фазу вектора 2 можно изменять, регулируя отношение амплитуд слагаемых колебаний. Если колебания х(1) и у(1) имеют равные амплитуды А=Хт=Ут, то дня управления фазой выходного сигнала <р2 необходим узел взвешенного суммирования, который изменяет отношение удельных весов слагаемых в соответствии с управляющим воздействием а:

г(0 = ах(() + (1 -а)у(0, где а = 0 ... 1. (4)

Основу мультифазных систем, осуществляющих дискретную ФИ, составляют управляемые элементы задержки (ЭЗ) цифровых сигналов. В схемотехнике СБИС в качестве ЭЗ широко применяются буферные элементы КМОП-типа, простейшим из которых является инвертор на паре комплементарных МОП-транзисторов.

Способы управления задержкой инвертора достаточно очевидны и сводятся к изменению условий перезаряда емкости нагрузки. Процесс переключения инвертора детально изучен, однако его регулировочные свойства в литературе не описаны. В этой связи были выведены аналитические выражения, описывающие связь задержки КМОП-инвертора с управляющим воздействием.

Рассмотрены две схемы электронной перестройки времени задержки: схема инвертора с ограничением тока и схема с регулируемой постоянной времени выходной цепи (рис.1).

Формула, описывающая процесс регулирования задержки в первой схеме (рис. 1а), имеет вид:

С С

КМи"/Е-пУ 1"и' = КрЕ(Р-ир/ЕГ <*>

где: 11р и 1/п - управляющие напряжениями на затворах /^-канального и и-канального транзисторов, Е - напряжение питания, Кпи К - удельная крутизна п-канального и р-канального транзисторов, пир- нормализованное к питанию пороговое напряжение п-канального и /^-канального транзисторов,

Сн-емкость нагрузки. Регулировочная характеристика второй схемы (рис.1б) описывается выражением:

/ ЛЛ- 2("~")с где и~иу/Е - нормализованное к питанию управляющее напряжение.

т—о и0

о и0

б)

Рис.1. Схема КМОП-инвертора а) с ограничением тока, б) с регулируемой постоянной времени выходной цепи

Был исследован нерегулируемый элемент фазовой интерполяции, который образуется объединением выходов двух КМОП-инверторов. В процессе работы элемента ФИ, по существу, происходит сложение двух смещенных во времени сигналов. Получено выражение для расчета задержки спадов напряжения, отсчитанное по уровню половины перепада, на трех выходах элемента ФИ.

В каналах воспроизведения данных, а также в схемах размножения тактовых импульсов цифровых систем широко применяются устройства адаптивной синхронизации, местные генераторы которых отслеживают частоту и фазу опорных сигналов, которыми могут быть и воспроизводимые в канале сигналы. Применение фазовой интерполяции в таких устройствах позволяет повысить точность и стабильность синхронизации. В работе было уделено внимание двум типам интерполирующих устройств синхронизации - системам автоподстройки задержки DLL (Delay Lock Loop - система автоматической подстройки по задержке) и автоподстройки фазы PLL (Phase Lock Loop - система фазовой автоподстройки частоты)

Выполнен сравнительный анализ систем PLL и DLL. В системе DLL нет местного генератора, множество интерполирующих импульсов образуются смещением во времени опорного сигнала. В системе PLL выполняется регулирова-

ние частоты сигналов местного управляемого генератора по результату сравнения его фазы с фазой опорных сигналов. Интерполяция осуществляется множеством выходов генератора. При одинаковом порядке фильтров передаточная функция системы DLL имеет на единицу меньший порядок, что обусловливает ее повышенную устойчивость. Кроме того, генерируемые системой DLL импульсы отличаются лучшей спектральной чистотой ввиду отсутствия накопления джит-тера. Однако, в отличие от системы PLL система DLL неспособна работать с нерегулярными опорными сигналами, что ограничивает область ее применения.

Проведен анализ функционирования интерполирующей системы PLL с релейной дискриминационной характеристикой, показывающий возможность повышения точности при использования ФИ без повышения тактовой частоты. Получено уравнение для расчета времени установления синхронизма системы:

где - опорная частота МКГ, 8Г - начальная расстройка частоты, и - разрядность счетчика.

Проверка функционирования интерполирующей системы PLL в программном пакете БтаиИпк подтвердила расчетные характеристики устройства.

Во второй главе исследована вероятность ошибки, возникающая в процессе воспроизведения сигналов данных в канале чтения накопителя на магнитном носителе. Искажение позиции воспроизведения сигнала слагается из интерференционного и шумового сдвигов Ошибка воспроизведения имеет место, когда сигнал оказывается сдвинутым за пределы селектирующего временного "окна". При анализе вероятности ошибки учтено, что границы "окна данных" Г не стационарны, поскольку синхросигнал формируется усреднением фазы самих сигналов данных X. Дисперсия фазы синхросигнала У определяется выражением:

где: - дисперсия входного сигнала, к - количество учтенных сигналов.

Таким образом, дисперсия фазы синхросигнала У тем меньше, чем больше входных сигналов X учитывается в процессе усреднения. Норма джиттера синхросигнала по сравнению с входным сигналом уменьшается в 4к раз.

Для определения численных показателей вероятности ошибки рассмотрен механизм ее возникновения, полагая фазовое дрожание сигнала "окна данных" подчиняющимся нормальному закону распределения, что близко к истине ввиду большого числа искажающих факторов в канале чтения.

Обозначим через и^ плотность распределения вероятности формирования сигнала данных, а и>и(У и ы^) плотности распределения вероятностей

t

(7)

к

(8)

моментов формирования левой и правой границы "окна" (рис.2), искажения которых проявляются как сопряженные систематические смещения Аг и как их случайное дрожание. Учтем также, что в среднем момент появления сигнала данных может быть смещен на /(^относительно идеальной позиции.

Рис.2. Механизм возникновения ошибки воспроизведения

Полная вероятность ошибки как события, заключающегося в том, что сигнал данных придет позже правой или ранее левой границ "окна", составляет:

ни иц аз I

Л

(9)

При нормальном законе распределения всех случайных величин

О-А.у)'

--1 -^--I - ,

2" , (10)

1

~ ' ^(0---. "IЛЧ--

ахЫШ а-у-Лл <тгЧ 2л

где ах и оу - среднеквадратические отклонения для сигнала данных X и "окна данных" У. Тогда

1

1

1

а у 42л

ууГЪГ

Нг

1-7-

¿ст Л 2л

^ а

А +

Л

(И)

Л.

Нормирование временных параметров к длительности "окна" т0

■ = лх>

°> _ £ сх _ е _ ;

~Ьх> ~~ ЛУ>

'О 'О 'о о

дает следующее общее выражение вероятности ошибки:

со ^

Рош = 1 -

1 Р .

и .г-

1— J р .1

Ч?

14ху!2Х

Ьх^'

а

(/-Яг)'

а

(12)

где ? также нормировано к фд и является уже безразмерной величиной.

Представленные на графиках (рис.3,4) результаты численного расчета в МшИСАИ по формуле (12), позволяют сделать выводы относительно влияния погрешности синхронизации на верность воспроизведения данных.

Смещение "окна данных" и смещение сигнала данных оказывают одинаковое влияние на вероятность ошибки при воспроизведении одиночного символа, что видно из симметричности трехмерного графика (рис.3), показывающего зависимость вероятность ошибки от смещения "окна данных" Лг и от смещения сигнала данных

Рис.3. Зависимость вероятности ошибки от смещений "окна" Аг и символа Лх

На рис.4 изображены графики, показывающие зависимость вероятности ошибки от дисперсии джиттера сигнала данных при различных смещениях границ "окна" Яг Видно, что возрастание джиттера информационного сигнала, так же как увеличение смещений границ "окна данных" Лу приводят к увеличению вероятности ошибки.

. гис.ч. зависимость вероятности ошибки от дисперсии джиггера сигнала данных ^ при различных смещениях границ "окна данных" Лг

Поскольку имеется прямая связь вероятности ошибки со смещением "окна данных" относительно математического ожидания фазы информационного сигнала, то эффективным способом повышения достоверности данных в канале чтения является центрирование "окна", а по существу синхросигнала.

Третья глава посвящена применению способа ФИ в технике генерирования сигналов для точного управления их временными параметрами в процессе контроля устройств хранения данных. Рассмотрены вопросы применения способа ФИ для генерирования программируемых прецизионных интервалов времени - построения генераторов задержки. Для улучшения разрешающей способности генераторов задержки до субвентильных значений предложены технические решения с применением ЦЛЗ и блоков ФИ, в том числе многоступенчатого типа (рис.5).

ЦЛЗ в предпочтительном варианте осуществления представляет собой систему автоподстройки задержки, которая включает последовательную цепь управляемых электронных ЭЗ. Объединенные управляющие входы ЭЗ соединены с выходом блока фазового сравнения (БФС), у которого входы присоединены соответственно с входом и выходом ЦЛЗ.

ог

у ЦЛЗ

БФИ

А Ф 1

2

В п

*+1

ГУ

Рис.5. Генератор программируемой задержки

Принцип действия устройства состоит в двухэтапном разделении периода опорных импульсов Та на кванты времени в секционированной ЦЛЗ. На первом этапе с помощью первого и второго мультиплексоров выбирается пара смежных отводов ЦЛЗ, последующее разделение полученного кванта времени на субкванты выполняется блоком фазовой интерполяции (БФИ). Выбор одного из выходов БФИ в качестве выходного сигнала устройства осуществляется с помощью третьего мультиплексора.

Если числовое значение управляющего кода равно К, то время задержки сигнала Т с выхода третьего мультиплексора МХ3 относительно сигнала опорного генератора ОГ составит:

т>=кш> ю

где: М - число элементов задержки в ЦЛЗ, N- количество комбинаций БФИ.

Точность интерполирующих устройств зависит от равномерности задержек секций линии задержки или кольцевого генератора. Для оценки технологического разброса и стабильности частоты мультифазного кольцевого генерато-

pa проведено экспериментальное исследование генератора, выполненного на ПЛИС фирмы Altera. Объектом практического исследования послужила схема МКГ (рис.6), выполненного на кристаллах ПЛИС EPF10K10LC84-4 и EP2C20F484C7N фирмы Altera. Схема МКГ состоит из 100 каскадов задержки в виде ячеек LCELL, одна из которых снабжена инвертором, обеспечивающим дополнительный сдвиг сигнала обратной связи на л.

N/2

§т1

№2-1

tD t—4 to 1—4 to 1—1

► Ф3

► Ф,

► Фо

Рис.6. Структура исследуемого МКГ

Практически важен вопрос о минимальном значении числа каскадов ТУ, достаточном для возбуждения и поддержания колебаний в МКГ. Для ответа на этот вопрос была проверена работоспособность и измерена частота импульсов кольцевых генераторов на обоих ПЛИС в зависимости от числа каскадов генератора. На кристалле EP2C20F484C7N колебания возникают после объединения в кольцо инверсной обратной связью семи буферов задержки, при этом частота импульсов составляет 230 МГц. На кристалле ЕРП0К10ЬС84-4 колебания с частотой 192,3 МГц возникают при замыкании инверсной обратной связью уже пары каскадов.

Была проверена стабильность частоты генерируемых импульсов при изменении температуры среды и питающего напряжения для пяти образцов МКГ на ПЛИС типа ЕРР10К10ЬС84-4. Температурный коэффициент частоты составил в среднем - 0,2%/град. Работоспособность генератора сохранялась в диапазоне питающего напряжения от 4,75 В до 5,25 В, при этом чувствительность частоты к уходу напряжения питания не превысила 8,7%/В.

Результаты измерений на множестве образцов МКГ обнаружили высокую идентичность устройств на одном кристалле, что свидетельствует об идентичности буферов задержки на которых они построены и, следовательно, о достаточно высокой линейности ЦЛЗ на ПЛИС.

Для экспериментальной оценки устойчивости и фазового запаса канала чтения необходимо имитировать воспроизведенные сигналы с характерными искажениями - нормированным джитгером. Для решения этой задачи оказывается полезным способ фазовой интерполяции.

Представлена и исследована схема устройства для генерирования джит-тера сигналов данных. Схема генератора джиттера с генератором псевдослучайных чисел (ГПСЧ) не нуждается в операциях суммирования и мультиплексирования благодаря специальному исполнению элементов задержки в цифровой линии задержки. Генератор (рис.7) включает последовательную цепь элементов задержки, имеющих входы управления (2Г При логической "1" на входе управления входной сигнал по замыкаемому ключу беспрепятственно проходит на выход элемента с минимальной задержкой. Если же на входе управления появляется логический "О", то шунтирующий ключ в элементе задержки оказывается разомкнутым, что приводит к увеличению времени задержки до установленного значения.

Рис.7. Генератор импульсов с фазовым дрожанием на последовательной цепи управляемых элементов задержки

Выходной импульс генератора отстает относительно входного опорного импульса на время задержки цепи элементов задержки, длина которой зависит от цифровой комбинации на выходах ГПСЧ. Поскольку сумма содержимого смежных разрядов ГПСЧ распределена по нормальному закону, то нормальному закону подчинена и задержка выходного импульса относительно опорного импульса.

Схема генератора импульсов, включающая 7-секционную ЦЛЗ, реализована на кристалле EP2S15F484СЗ фирмы Altera. Работоспособность схемы была проверена путем моделирования в системе автоматизированного проектирования (САПР) Quartus 11.

Результаты измерения значений задержки фронта выходного импульса относительно фронта входного импульса в пределах полного цикла ГПСЧ показали, что генерируемый джиттер импульсов обладает близким к нормальному распределением.. Энергетический спектр джиттера простирается от частоты опорных импульсов до частоты повторения комбинаций ГПСЧ, увеличивая число разрядов которого, можно получить практически случайный процесс. В исследуемом варианте устройства использован 7-разрядный ГПСЧ и, следовательно, спектр составил от 160 кГц до 20 МГц.

В четвертой главе проведен анализ способов преобразования однократных интервалов времени в цифровой код, рассмотрены ограничения традиционных методов цифрового прецизионного измерения интервалов времени, главное из которых связано с протяженным "мертвым" временем - минимально допустимой паузой между смежными преобразованиями. На основании выполненного анализа обосновано использование способов с субвентильным разрешением на основе опорной фазовой матрицы и прямой интерполяции тактового периода с помощью цифровой линии задержки или мультифазного генератора для построения преобразователей время-код (ПВК).

В работе проанализирован один из способов достижения субвентильного разрешения ПВК путем организации опорной фазовой матрицы, состоящей из элементов ФИ. С целью проверки функционирования, выполнено моделирование в БтиНпк интерполирующего ПВК на основе опорной фазовой матрицы. На рис.8 представлена внутренняя структура элемента ФИ: ■

а) схема элемента ФИ в БтаиНпк;

б) временные диаграммы иллюстрирующие работу элемента ФИ.

Сигналы на временной диаграмме (рис.8) обозначены теми же буквами, I

что и соответствующие им точки схемы.

б)

Рис. 8. Модель элемента ФИ в ЗшшНпк

Разработаны на основе ПЛИС и испытаны схемы интерполирующих преобразователей однократных временных интервалов в цифровой код, в которых практически исключено "мертвое" время.

Функциональная схема интерполирующего ПВК ориентированная на реализацию в ПЛИС показана на рис.9. Отличие этой схемы ПВК от известных состоит в том, что частота непрерывно работающего МКГ не стабилизируется. Вместо этого его период непрерывно измеряется, и результат измерения используется в процессе вычисления значения измеряемого интервала времени. Кроме того, для уменьшения погрешности, связанной с задержками распространения сигналов по линиям связи (в ПЛИС они соизмеримы с задержками

каскадов МКГ), в схему введен дополнительный регистр состояния МКГ, конструктивно размещенный в той же линейке конфигурируемых блоков, что и каскады МКГ. Этот регистр 1 служит для съема мгновенного состояния выходов МКГ "на лету" без его останова.

Пуск о-

2 й;

£

Г

Стоп о-

Коммутатор

Г/

Кг

г/

К,

е-

Э"

О

е-

и

1) й.

//

Ко

Опорный генератор

Ч Делитель частоты +0

V Г и

* о Е " си

г/

М

Рис.9. Функциональная схема интерполирующего ПВК

Генератор вырабатывает импульсы типа "меандр", смещенные по оси времени на время задержки каскада. Импульсы Пуск и Стоп отмечают границы преобразуемого интервала. По фронтам этих сигналов по субшкале генератора регистрируются позиции импульсов внутри периода МКГ. Число полных периодов генератора на интервале регистрируется "грубым" счетчиком 1. Измерение периода МКГ осуществляет специальный блок с опорным генератором стабильной частоты.

Длительность преобразуемого интервала Тх в единицах времени рассчитывается арифметическим блоком по формуле:

тх=^т0,

х М)

(14)

где: М- количество импульсов опорного генератора, уложившихся в полупериод делителя частоты, 0 - число на выходе вычитателя, отражающее длительность преобразуемого интервала в числе субквантов N - число фаз МКГ, Б - коэффициент деления частоты МКГ, Т — период импульсов опорного генератора.

Схема интерполирующего ПВК была реализована на ПЛИС EP2C20F484C7N фирмы Altera, размещенной на отладочной плате Cyclone II FPGA Starter Development Board.

В ходе эксперимента было проведено множество измерений временных интервалов между парами импульсов Пуск и Стоп, разделенных периодом кварцевого генератора. Квант времени МКГ составил íD = 315 пс, погрешность измерения не превышала плюс/минус единицы счета. Среднеквадратическое отклонение при измерении интервалов, разделенных периодом кварцевых генераторов 24, 27 и 50 МГц, составило соответственно 153, 160 и 144 пс.

Диапазон преобразуемых интервалов времени ограничен сверху лишь разрядностью "грубого" счетчика 1 (рис.9). В разработанном проекте использовался восьмиразрядный "грубый" счетчик, и верхняя граница диапазона составила ТХтах = 2,58 мкс. "Мертвое" время между смежными преобразованиями практически исключено и ограничено лишь временем переключения регистра в ПЛИС, равным 282 пс.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ

1. Получены аналитические выражения, описывающие процессы регулирования времени задержки в элементах ФИ. Выполненное экспериментальное исследование характеристик разработанного и реализованного на ПЛИС кольцевого генератора подтвердило идентичность элементов задержки, необходимую для построения интерполирующих устройств.

2. Усовершенствована методика расчета вероятности ошибки воспроизведения сигналов данных в информационном канале магнитного накопителя, которая в отличие от известных методик учитывает джиттер синхросигналов в его связи с джиггером воспроизводимых сигналов. Применение полученных формул позволяет еще на ранних стадиях проектирования устройства хранения данных оценить верность воспроизведения и уточнить требования к характеристикам канала чтения.

3. Для контроля информационных каналов накопителей предложены способ и структуры устройств программирования задержки и генерирования импульсов с заданным уровнем джитгера, которые позволяют имитировать реальные сигналы с нормальным распределением фазовых отклонений при равномерном энергетическом спектре в канале чтения устройства хранения данных;

4. Разработаны новые технические решения интерполирующих преобразователей однократных интервалов времени в цифровой код. Построен на ПЛИС и испытан интерполирующий преобразователь однократных временных интервалов в цифровой код, погрешность преобразования которого не превышает плюс/минус единицы счета (315 пс) при существенном сокращении "мертвого" времени, не превышающем времени записи в регистр (282 пс).

ОСНОВНЫЕ ПУБЛИКАЦИИ ПО ТЕМЕ ДИССЕРТАЦИИ Публикации в изданиях, рекомендованных ВАК

1. Медведев A.B., Чулков В.А. Генератор импульсов с фазовым дрожанием на ПЛИС // Известия высших учебных заведений. Приборостроение, 2009. -Т. 52. №1.- С. 50-54.

2. Медведев A.B., Чулков В.А. Кольцевые генераторы импульсов на ПЛИС // Известия высших учебных заведений. Приборостроение, 2009. -Т. 52. № 12.-С. 50-54.

Публикации в других изданиях

3. Медведев A.B., Чулков В.А. Интерполирующий преобразователь время-код на П.Л.И.С. // Приборы и техника эксперимента. - 2009. - № 6. - С. 31 - 35.

4. Медведев A.B. Интерполирующий преобразователь временного интервала в цифровой код // Проблемы автоматизации и управления в технических системах: Труды Международной научно-технической конференции - Пенза, Информационно-издательский центр ПензГУ, 2008. - С. 144 - 147.

5. Медведев A.B. Интерполирующий преобразователь время-код на ПЛИС / Медведев A.B., Чулков В.А. // LXIII Научная сессия, посвященная дню радио: труды Российского научно-технического общества радиотехники, электроники и связи имени A.C. Попова - Москва, ООО "Инсвязьиздат", 2008. - С. 82 - 84.

6. Медведев A.B. Исследование и моделирование джиггера сигналов в информационных каналах накопителей на магнитных дисках // Сборник материалов Всероссийского конкурса инновационных проектов аспирантов и студентов по приоритетному направлению развития науки и техники "Информационно-телекоммуникационные системы". - М.: ГНИИ ИТТ "Информика", 2006. - С. 119.

7. Медведев A.B. Моделирование преобразователя время-код с субвентильным разрешением / Медведев A.B., Чулков В.А. Н Современные методы и средства обработки пространственно-временных сигналов: сборник материалов IV Всероссийской научно-технической конференции. - Пенза, ПДЗ, 2006. - С. 149 - 153.

8. Медведев A.B. Моделирование характеристического импульса цифровой магнитной записи // Искусственный интеллект в XXI веке. Решения в условиях неопределенности: Сборник статей IV Международной научно-технической конференции. - Пенза, ПДЗ, 2006. - С. 173 - 176.

9. Медведев A.B. Преобразователь время-код на основе двойной интерполяции / Медведев A.B., Чулков В.А. // Современные методы и средства обработки пространственно-временных сигналов: сборник статей VI Всероссийской научно-технической конференции. - Пенза, ПДЗ, 2008. - С. 119 - 121.

10. Медведев A.B. Преобразователь время-код на основе дискретной фазовой интерполяции / Медведев A.B., Чулков В.А. // Современные методы и средства обработки пространственно-временных сигналов: сборник материалов V Всероссийской научно-технической конференции. - Пенза, ПДЗ, 2007. - С. 191 - 193.

11. Медведев A.B. Связь ошибки воспроизведения данных с погрешностью синхронизации / Медведев A.B., Чулков В.А. // Современные методы и средства обработки пространственно-временных сигналов: сборник материалов III Всероссийской научно-технической конференции. - Пенза, ПДЗ, 2005. - С. 66 - 71.

12. Медведев A.B. Исследование кольцевых генераторов на ПЛИС // Современные методы и средства обработки пространственно-временных сигналов: сборник статей VII Всероссийской научно-технической конференции. -Пенза: Приволжский Дом знаний, 2009. - С. 102 - 105.

13. Медведев A.B. Преобразователь "время-код" на ПЛИС // Проблемы автоматизации и управления в технических системах: труды Международной научно-технической конференции - Пенза: Изд-во ПГУ, 2009. - С. 122 - 126.

14. Медведев A.B. Кольцевые генераторы импульсов для интерполирующих систем фазовой синхронизации / Медведев A.B., Чулков В.А // Системы синхронизации, формирования и обработки сигналов для связи и вещания: тексты докладов всероссийского научно-технического семинара, Под редакцией Шахгильдяна В.В. - Москва: Инсвязьиздат, 2009. - С. 111-113.

15. Пат. 2317638 РФ, МКИ Н03К5/14. Генератор программируемой задержки / В.А. Чулков, A.B. Медведев. - №2006116806/09; Заявл. 16.05.2006; Опубл. 20.02.2008, Бюл.№ 5.

16. Пат. 2385479 РФ МПК G04F 10/00. Интерполирующий преобразователь время-код / A.B. Медведев. - №2008120114/28; Заявл. 20.05.2008; Опубл. 27.03.2010, Бюл. № 9.

Медведев Алексей Владимирович

Временные интерполирующие преобразователи для контроля сигналов в устройствах хранения данных

Специальность 05.13.05 - Элементы и устройства вычислительной техники и систем управления

Компьютерная верстка Д.Б. Фатеева, Е.В. Рязановой

Сдано в производство 13.05.10. Формат 60x84 '/|6 Бумага типогр. №1. Печать трафаретная. Шрифт Times New Roman Cyr. Усл. печ. л. 1,1. Уч.-изд. л. 1,13. Заказ № 1816. Тираж 100.

Пензенская государственная технологическая академия. 440605, Россия, г. Пенза, пр. Байдукова/ул. Гагарина, 1*/11.

Оглавление автор диссертации — кандидата технических наук Медведев, Алексей Владимирович

ОСНОВНЫЕ ОБОЗНАЧЕНИЯ И СОКРАЩЕНИЯ

ВВЕДЕНИЕ

ГЛАВА 1. АНАЛИЗ ПРОЦЕССОВ ФАЗОВОЙ ИНТЕРПОЛЯЦИИ

1.1 Обоснование способа фазовой интерполяции

1.2 Исследование процессов интерполяции и управляемой задержки

1.3 Генерирование мультифазных последовательностей импульсов

1.4 Фазовая синхронизация в системах хранения данных

1.5 Анализ характеристик интерполирующего устройства синхронизации

1.6 Выводы по главе

ГЛАВА 2. АНАЛИЗ ФАЗОВОГО ЗАПАСА И ОШИБКИ

ВОСПРОИЗВЕДЕНИЯ ДАННЫХ

2 Л Показатели искажений сигналов данных

2.2 Анализ процесса воспроизведения сигналов в канале чтения

2.3 Методика численного расчета вероятности ошибки

2.4 Выводы по главе

ГЛАВА 3. ГЕНЕРИРОВАНИЕ СИГНАЛОВ С ПРИМЕНЕНИЕМ ФИ

3.1 Разработка программируемого генератора задержки

3.2 Исследование кольцевых генераторов на ПЛИС

3.3 Генерирование джиттера

3.4 Выводы по главе

ГЛАВА 4. РАЗРАБОТКА ПРЕОБРАЗОВАТЕЛЕЙ ВРЕМЯ-КОД ДЛЯ

КОНТРОЛЯ КАНАЛОВ ЧТЕНИЯ ДАННЫХ

4.1 Принципы цифрового измерения интервалов времени

4.2 Разработка интерполирующих преобразователей время-код

4.3 ПВК на основе опорной фазовой матрицы

4.4 Моделирование ПВК на основе опорной фазовой матрицы

4.5 Разработка и исследование интерполирующего ПВК на ПЛИС

4.6 Выводы по главе

Введение 2010 год, диссертация по информатике, вычислительной технике и управлению, Медведев, Алексей Владимирович

Актуальность темы. В последние десятилетия цифровые методы представления и обработки сигналов не только получили повсеместное распространение в вычислительных устройствах и системах, но и составили основу управляющей, телекоммуникационной и измерительной техники. Точность преобразователей сигналов, в которых информативным параметром выступает временной интервал или фазовое отношение, определяется шагом дискретизации времени. В традиционных цифровых системах шаг дискретизации сигналов равен тактовому периоду, и сокращение кванта времени достигается путем увеличения тактовой частоты. Однако, хотя наблюдающийся прогресс микроэлектроники и оправдывает некоторые оптимистические прогнозы в отношении повышения рабочей частоты полупроводниковых приборов, всегда остаются задачи, решение которых требует кванта времени, существенно меньшего тактового периода.

Подобные задачи характерны для таких областей, как радиолокация и оптическая связь, измерение интервалов времени и фазовых сдвигов, фазовая синхронизация. Одна из областей, в которых важную роль играет размер кванта времени, - генерирование и контроль сигналов в информационных каналах устройств хранения данных, в частности в каналах чтения накопителей на магнитном носителе.

Поэтому одновременно с новыми технологическими способами повышения частоты прорабатывались также вопросы временной интерполяции тактового периода, то есть разделения его на части, размер которых мог служить новым квантом времени. Процедура разделения тактового периода (или в более общем случае произвольного интервала времени) применялась и прежде. В частности, об использовании для этой цели линий задержки в цифровых измерительных преобразователях известно из трудов В.М. Шляндина [79], в отношении систем синхронизации приемников на такую возможность указывал В. Линдсей [22]. В современной технике процесс получения дополнительных точек отсчета внутри некоторого интервала времени получил название фазовой интерполяции (ФИ).

Развитие собственно интерполирующих цифровых систем преобразования сигналов, главным образом аналого-цифровых преобразователей интервалов времени, наблюдаемое в последнее десятилетие, опирается на технологические достижения микроэлектроники. Дополнительный толчок совершенствованию техники мультифазных систем дало появление на рынке электронных компонентов программируемых логических интегральных схем (ПЛИС), позволяющих оперативно создавать и проверять новые структуры и алгоритмы преобразования сигналов без трудоемких и дорогостоящих процедур разработки заказных БИС [10, 90]. Среди зарубежных исследований в области интерполирующих устройств и систем выделяются работы, выполненные в Стэнфордском университете (США) под руководством М. Хоровица [104], в университете Оулу (Финляндия) при активном участии Ю. Костамоваара, Т. Рахконена и А. Мянтиниеми [128], в европейском центре ядерных исследований CERN (Я. Арай, М. Мота, Е. Христиансен и др.) [82, 88, 119, 120], Национальном Тайваньском университете (группа исследователей во главе с П. Чен, Ш.-Ю. Лью) [84, 85, 137]. Вопросам преобразования сигналов посвящены многие работы отечественных исследователей, в том числе В.А.Чулкова, Н.Н. Коннова, Е.И.Гурина, Е.А.Мелешко, Т.М. Демьянчука, В.И. Нифонтова, Н.А. Бессоновой и ряда других [6, 11, 12, 14, 39, 41, 72].

Целью диссертационной работы является разработка и совершенствование преобразователей для контроля сигналов в информационных каналах устройств хранения данных с использованием принципа фазовой интерполяции.

Поставленная цель достигается решением следующих задач:

- обоснование способа фазовой интерполяции как технического приема сокращения кванта времени в преобразователях сигналов устройств хранения данных;

- исследование элементов и узлов временных интерполирующих преобразователей;

- анализ процесса воспроизведения сигналов данных в канале чтения накопителя на магнитном носителе и усовершенствование методики численного расчета вероятности ошибки;

- создание интерполирующих генераторов для программируемой задержки сигналов, фазовой синхронизации и имитации джиттера сигналов в информационных каналах устройств хранения данных;

- разработка интерполирующих преобразователей время-код с сокращенным «мертвым» временем между смежными циклами преобразования для оценки фазовых искажений сигналов воспроизведения;

- разработка и экспериментальное исследование интерполирующих устройств на ПЛИС.

Научная новизна работы состоит в развитии научных основ и анализе процессов фазовой интерполяции, а также в создании принципиально новых устройств преобразования сигналов с использованием способа ФИ для контроля информационных каналов в устройствах хранения данных, в том числе:

- получены аналитические выражения, описывающие процессы регулирования времени задержки элементов ФИ, которые обосновывают применение способа ФИ для повышения разрешающей способности цифровых преобразователей сигналов без повышения их тактовой частоты;

- усовершенствована методика расчета вероятности ошибки в процессе воспроизведения цифровых сигналов данных в накопителе на магнитном носителе, которая в отличие от известных методик учитывает джиттер синхросигналов в его связи с джиттером сигналов данных, позволяет оценить фазовый запас канала чтения и уточнить требования к его параметрам;

- предложены новые технические решения интерполирующих устройств программирования задержки и генерирования импульсов с заданным джиттером. Разработанные устройства позволяют имитировать реальные цифровые сигналы в каналах чтения устройств хранения данных;

- разработаны новые схемы интерполирующих преобразователей однократных интервалов времени в цифровой код, работающие в реальном масштабе времени без выполнения продолжительных процедур оценки погрешности квантования, не требующие регулирования и калибровки и обладающие высокой стабильностью.

Практическая ценность. Использование результатов выполненных в диссертации исследований и разработок позволяют создать точные и производительные преобразователи сигналов для контроля каналов чтения в системах хранения данных. Применение разработанных интерполирующих генераторов позволяет имитировать реальные цифровые сигналы для оценки технических характеристик запоминающих устройств.

Основные положения, выносимые на защиту

1. Аналитические выражения, описывающие процесс регулирования времени задержки в КМОП схемах ФИ, и их применение для проектирования элементов интерполирующих устройств.

2. Усовершенствованная методика расчета вероятности ошибки воспроизведения сигналов в накопителе на магнитном носителе, учитывающая джиттер синхросигналов в его связи с джиттером сигналов данных.

3. Способ и структуры устройств генерирования задержки и цифровых сигналов с заданным уровнем джиттера.

4. Комплекс технических решений интерполирующих устройств для преобразования однократных интервалов времени в цифровой код.

Структура диссертации. Диссертационная работа состоит из введения, четырех глав, заключения и приложений.

Заключение диссертация на тему "Временные интерполирующие преобразователи для контроля сигналов в устройствах хранения данных"

4.6 Выводы по главе

1. Выполнен анализ известных способов прецизионного цифрового преобразования время-код, основным недостатком которых является большое «мертвое» время между смежными циклами преобразования, необходимое для оценки погрешности дискретизации. Разработана схема ПВК с прямой интерполяцией интервала времени цифровыми линиями задержки, обеспечивающей разрешение, равное времени задержки распространения логического вентиля с сокращенным «мертвым» временем.

2. Проанализирован способ достижения субвентильного разрешения в ПВК на основе опорной фазовой матрицы в виде множества связанных МКГ, путем моделирования в среде Simulink выполнена проверка функционирования устройства.

3. Разработан, реализован на ПЛИС и экспериментально исследован интерполирующий ПВК с фиксацией моментов возникновения событий «на лету» по субшкале отсчета МКГ без его останова с последующей коррекцией результата преобразования по текущему значению опорного периода.

128

ЗАКЛЮЧЕНИЕ

В диссертационной работе были развиты научные основы и проведено теоретическое исследование процессов фазовой интерполяции, предложены принципиально новые временные интерполирующие преобразователи, обеспечивающие повышение точности и производительности систем для контроля сигналов в устройствах хранения данных. Достигнуты следующие основные результаты:

1. Получены аналитические выражения, описывающие процессы регулирования времени задержки в элементах ФИ. Выполненное экспериментальное исследование характеристик разработанного и реализованного на ПЛИС кольцевого генератора подтвердило идентичность элементов задержки, необходимую для построения интерполирующих устройств.

2. Усовершенствована методика расчета вероятности ошибки воспроизведения сигналов данных в информационном канале магнитного накопителя, которая в отличие от известных методик учитывает джиттер синхросигналов в его связи с джиггером воспроизводимых сигналов. Применение полученных формул позволяет еще на ранних стадиях проектирования устройства хранения данных оценить верность воспроизведения и уточнить требования к характеристикам канала чтения.

3. Для контроля информационных каналов накопителей предложены способ и структуры устройств программирования задержки и генерирования импульсов с заданным уровнем джиттера, которые позволяют имитировать реальные сигналы с нормальным распределением фазовых отклонений при равномерном энергетическом спектре в канале чтения устройства хранения данных;

4. Разработаны новые технические решения интерполирующих преобразователей однократных интервалов времени в цифровой код. Построен на ПЛИС и испытан интерполирующий преобразователь однократных временных интервалов в цифровой код, погрешность преобразования которого не превышает плюс/минус единицы счета (315 пс) при существенном сокращении «мертвого» времени, не превышающем времени записи в регистр (282 пс).

Библиография Медведев, Алексей Владимирович, диссертация по теме Элементы и устройства вычислительной техники и систем управления

1. А. с. 1674231 СССР, МКИ G 11В 5/09. Устройство синхронизации воспроизводимых данных / В.А. Чулков. - №4744754/10; Заявл. 02.10.89; Опубл. 30.08.91; Бюл.№32.

2. А. с. 1688382 СССР, МКИ Н 03D 13/00. Частотно-фазовый компаратор / В.А. Кузьмин, В.А. Чулков. №4748303/09; Заявл. 11.10.89; Опубл. 30.10.91; Бюл. №40.

3. А.с. 866698 СССР, МКИ И 03D 13/00. Частотно-фазовый детектор / В.А. Чулков, А.Д. Глыбовский. № 2648788/18-19; Заявл. 21.07.78; Опубл. 23.09.81; Бюл. №35.

4. А. с. 983978 СССР, МКИ Н 03D 13/00. Частотно-фазовый компаратор / В.А. Чулков. №3325045/18-21; Заявл. 27.07.81; Опубл. 23.12.82; Бюл.№47.

5. Алексеев Е.Р., Чеснокова О. В. Mathcad 12. М: НТ Пресс, 2005. 352 с.

6. Бессонова Н.А. Морозов А.Г. Широкодиапазонный преобразователь время-код / Предпринт ИЭА-4366/16. Москва, 1986.

7. Бобнев М. П. Генерирование случайных сигналов. М.: Энергия, 1966. 120 с.

8. Богородицкий А. А., Рыжевский А.Г. Нониусные аналого-цифровые преобразователи. -М.: Энергия, 1975. 120 с.

9. Воднев В.Т., Наумович А.Ф., Наумович Н.Ф. Математический словарь высшей школы: Под ред. Ю.С. Богданова. 2-е изд. - М.: Изд-во МПИ, 1989.-527с., ил.

10. Грушвицкий Р.И., Мурсаев А.Х., Угрюмов Е.П. Проектирование систем на микросхемах с программируемой структурой. 2-е изд., перераб. и доп. -СПб.: БХВ-Петербург, 2006. - 736 е.: ил.

11. Гурин Е.И., Дятлов Л.Е., Конов Н.Н., Попов К.В., Севастьянов А.В. Нониусный измеритель временных интервалов на П.Л.И.С. // Приборы и техника эксперимента. 2004. - №4. - С. 44 - 48.

12. Гурин Е.И., Коннов Н.Н., Механов В.Б., Попов К.В. Быстродействующий нониусный измеритель временных интервалов // Приборы и техника эксперимента. 1997. - № 3. - С. 102 - 105.

13. Двайт Г.Б. Таблицы интегралов и другие математические формулы. Перев. с англ. Изд. 6-е. М.: Наука, 1983. - 172 с.

14. Демьянчук Т.М., Ткаченко К.В., Белей С.М. Быстродействующий преобразователь временных интервалов в код // ПТЭ. 1983. № 2, С. 86 87.

15. Дьяконов В.П. Matlab 6.5 SP1/7 + Simulink 5/6. Основы применения. М.: Солон-Пресс, 2005. 800 с.

16. Князев Г.И., Михайлов В.И., Раков Б.М., Сурков В.Б. Определение вероятностей искажения позиций импульсов воспроизведения // В сб. "Вопросы радиоэлектроники", сер. ЭВТ. 1980. - вып. 10. - С. 9 - 14.

17. Колесов С.А., Бовырин В.В. Управляемые элементы задержки на интегральных ЭСЛ микросхемах // В сб. "Вопросы радиоэлектроники", сер.ЭВТ. 1985. - вып.9. - С. 126 - 132.

18. Комолов Д.А., Мяльк Р.А., Зобенко А.А., Филиппов А.С. Системы автоматизированного проектирования фирмы Altera MAX+plus II и Quartus II. Краткое описание и самоучитель. М.: ИП РадиоСофт, 2002. - 352 е.: ил.

19. Корн Г. Моделирование случайных процессов на аналоговых и аналого-цифровых машинах. М.: Мир, 1968. - 415 с.

20. Лайонс Р. Цифровая обработка сигналов: Второе издание. Пер. с англ. -М.: ООО "Бином-Пресс", 2007. 656 е.: ил.

21. Лалл Н. «Судный день» для ПЛИС: появление второго поколения структурированных ASIC // Электронные компоненты. 2008. - №1. - С. 24-31.

22. Линдсей В. Системы синхронизации в связи и управлении / Пер. с англ. Под ред. Ю.Н.Бакаева и М.В. Капранова. М.: Советское радио, 1978. — 600 с.

23. Медведев А.В. Преобразователь «время-код» на ПЛИС // Проблемы автоматизации и управления в технических системах: труды Международной научно-технической конференции Пенза: Изд-во 111 У, 2009.-С. 122-126.

24. Медведев А.В., Чулков В.А. Генератор импульсов с фазовым дрожанием на ПЛИС // Известия высших учебных заведений. Приборостроение, 2009. -Т. 52. №1.-С. 50-54.

25. Медведев А.В., Чулков В.А. Интерполирующий преобразователь время-код на П.Л.И.С.// Приборы и техника эксперимента. 2009. - № 6. - С. 31 -35.

26. Медведев А.В., Чулков В.А. Кольцевые генераторы импульсов на ПЛИС // Известия высших учебных заведений. Приборостроение, 2009. Т. 52. №12.-С. 50-54.

27. Мейзда Ф. Электронные измерительные приборы и методы измерений : Пер. с англ. М.: Мир, 1990. - 535 е., ил.

28. Мелентьев Н.Г. Новые подходы в конструировании и технологии изготовления интегральных схем линий задержки // Электронная техника, сер.З. Микроэлектроника. 1991. - вып. 4 (143). - С. 47 - 50.

29. Мелешко Е.А. Быстродействующая импульсная электроника. М.: ФИЗМАТЛИТ, 2007. - 320 с.

30. Михайлов В.И., Князев Г.И., Раков Б.М. Информационные каналы запоминающих устройств на магнитных дисках. М.: Энергоатомиздат, 1984.- 174 с.41.42,43,44,45,46,47,48,49,5053

31. Пат. 2133552 РФ, МКИ Н03К5/159. Генератор импульсов с нормированным фазовым шумом / В.А. Чулков. №98107484/09; Заявл. 24.04.1998; 0публ.20.07.1999.

32. Пат. 2260830 РФ, МКИ G04F10/04. Устройство для измерения интервала времени / В.А. Чулков. №2004108575/28; Заявл. 22.03.2004; Опубл. 20.09.2005.

33. Пат. 2385479 РФ МПК G04F 10/00. Интерполирующий преобразователь время-код / А.В. Медведев. №2008120114/28; Заявл. 20.05.2008; Опубл. 27.03.2010, Бюл. № 9.

34. Пат. 5436939 США, МКИ H03D 003/24. Multi-phase clock generator and multiplier / Co, Ramon S., Kao, Ron. №316801; Заявл. 03.10.1994; Опубл. 25.10.1995; НКИ 375/376.

35. Пат. 5742208 США, МКИ НОЗВ 029/00, H03L 007/06, H03L 007/18 Signal generator for generating a jitter/wander output / Blazo, Stephen F. №709024; Заявл. 06.09.1996; Опубл. 21.04.1998; НКИ 331/23.

36. Пат. 6100735 США, МКИ H03L 007/06. Segmented dual delay-locked loop for precise variable-phase clock generation / Lu, Crist Y. №197320; Заявл. 19.11.1998; Опубл. 08.08.2000; НКИ 327/158.