автореферат диссертации по электронике, 05.27.01, диссертация на тему:Теоретическое исследование глубокого анизотропного травления кремниевых структур в низкотемпературной плазме

доктора физико-математических наук
Лукичев, Владимир Федорович
город
Москва
год
1997
специальность ВАК РФ
05.27.01
Автореферат по электронике на тему «Теоретическое исследование глубокого анизотропного травления кремниевых структур в низкотемпературной плазме»

Автореферат диссертации по теме "Теоретическое исследование глубокого анизотропного травления кремниевых структур в низкотемпературной плазме"

V

л

На правах рукописи

ЛУКИЧЕВ Владимир Федорович

ТЕОРЕТИЧЕСКОЕ ИССЛЕДОВАНИЕ ГЛУБОКОГО АНИЗОТРОПНОГО ТРАВЛЕНИЯ КРЕМНИЕВЫХ СТРУКТУР В НИЗКОТЕМПЕРАТУРНОЙ ПЛАЗМЕ

05.27.01 - тиердотсльиая электроника, микроэлектроника и наноэлсктроиика

АВТОРЕФЕРАТ диссертации па соискание учено» пенсии доктора фи.чпко-математичсских паук

Москиа - 1997

Работа выполнена в Физико-технологическом институте Российской Академии наук.

Научный консультант: доктор технических наук, профессор

Орликовекий A.A.

Официальные оппоненты: доктор физико-математических наук,

профессор Александров А.Ф. доктор физико-математических наук, Суетин Н.В.

доктор технических наук, профессор Королев М.А.

Ведущая организация: Институт проблем технологии

микроэлектроники н ос-обочистых материалов Российской АН, п.Черноголовка

Защита состоится ¿Г« I 1997 года в ^_часов на

заседании диссертационного совета Д.003.74.01 в Физико-технологическом институте РАН но адресу: 117218, Москва, ул.Красикова 25а.

С диссертацией можно ознакомиться в библиотеке ФТИАН Автореферат разослан " ^ -3 " 1997 года.

Ученый секретарь

диссертационного совета

кандидат физико-математических наук

В.В.Вьюрков

Общая характеристика работы

Актуальность темы. Начиная с конца 1970-х плазмохимическое и »еактивное ионное травление получило широкое распространение в' ехнологии интегральных схем (ИС). Это, в иерную очередь, связано с ем, что плазменное травление обладает высокой анизотропией и [роисходит мри достаточно низких температурах. В настоящее время с азвитием ианотехнологии нлазмохимичсское травление остается рактически единственным инструментом для перенесения рисунка ИС в локирующем слое в материал подложки. Однако требования на косимые плазмой дефекты, селективность, управление шириной линии, диородноеть травления становятся все более строгими и более ложными в реализации. И частности, при размерах менее 1 мкм и олмпих аснектных отношениях (глубина/ширина) более 10:1 для ранящихся структур возникает большое число проблем. Основными вляются зависимость скорости и профилей травления от размеров лементов.

Существует, но крайней мере, два существенных следствия икронеодпородпости травления. Во-первых, кажущиеся небольшими зменеиия в технологическом маршруте, схемотехнике ячейки или целой ксмы могут привести к неожиданным трудностям, которые могут »требовать дорогих и трудоемких усовершенствовании плазменных роцсссов для каждого специального случая. Во-вторых, в производстве итимизируют так называемый выход годных изделий путем выбора юмени травления; это всегда конкуренция между потерей кристаллов г недотравлнвания и потерей их из-за дефектов от перстравливания ижслежащих слоев (например, подзатвориого диэлектрика), ависимость скорости травления от размеров элементов ИС влияет на

л

обе стороны такого процесса оптимизации, а, следовательно, и на выход годных.

К началу описанных в данной диссертации работ автора (1989) было лишь известно, что в области поперечных размеров менее единиц микрон скорость травления более узких канавок и отверстий становится меньше, чем для более широких структур [11. Первое объяснение такому явлению было предложено в работах [2,3], где по аналогии с жидкостным травлением считалось, что продукты реакции препятствуют доставке химически активных частиц в более узкие структуры, что и приводит к уменьшению скорости травления. Однако простые оценки [А21 показывают, что в области давлений, характерных для нлазмохимического и реактивного ионного травления, парциальное давление продуктов реакции составляет доли процента от общего, поэтому влияние продуктов реакции на снижение скорости должно быть ничтожно малым. Учитывая специфику плазмы как источника химически активных частиц (в отличие от жидкостного травителя), заключающуюся в анизотропности углового распределения ионов и изотропности функции распределения нейтральных частиц, было высказано предположение, что основную роль в ограничении скорости травления играет входная апертура структуры; такое снижение скорости травления с ростом аспектпого отношения (обратного апертуре) было названо автором апертурным аффектом при плазменном травлении. По аналогии, обратный аиертурный аффект - это увеличение скорости травления с ростом аспектпого отношения. Таким образом, требовалось исследовать как аиертурный эффект, так и предсказанный автором позднее обратный анертурный аффект.

Моделирование профилей базировалось в основном на феноменологических моделях, не учитывающих специфику взаимодействия плазмы с поверхностью. Так, основными параметрами

модели анизотропного травления были вертикальная и горизонтальная составляющие скорости травления, при этом физическая сторона вопроса о происхождении такой анизотропии, как правило, не исследовалась, а все внимание уделялось математическим методам эволюции профиля травления. По этой причине требовалось создать модель процесса травления, учитывающую основные механизмы взаимодействия частиц тлазмы с травящейся поверхностью.

Цель работы. Настоящий цикл работ посвящен детальному теоретическому исследованию проблемы микронеоднородности скорости 1лазменпого травления субмикронных и нанометровых структур и формирования их профилей в кремнии - одном из важнейших «териалов современной микроэлектроники. При этом решался весь юмплекс связанных с этой проблемой вопросов, который можно условно >азбить на следующие части:

1) Детальное исследование формирования профилей травления :ремния и нахождение условий, при которых профиль имеет почти ертикальные боковые стенки, закругленное дно; выяснить, какие юханизмы ответственны за формирование особенностей профиля равления. Для этой цели - создание замкнутой модели процесса лазмениого травления [А1-А12,А17,А18|;

2) Теоретическое исследование апертурного эффекта, юпикагощего при плазменном травлении узких канавок и определение сношгых механизмов, влияющих на этот процесс 1А2-8,А10,А15,А17,А19|;

3) Выяснение возможности полного устранения апертурного |>фекта и развитие подходов к этой проблеме [А19|;

4) Исследование масштабирования скорости травления и подобие тофилей при плазменном травлении кремния [А2-А8];

5) Оценка предельных возможностей плазмохимичсского травления как метода создания наноструктур в кремнии | А13,А14-А16].

Научная новизна и достоверность.

Основные результаты, положенные в основу, диссертации получены впервые, а ее научные положения и выводы обосновань согласием теоретических выводов с экспериментальными результатами г тех случаях, когда экспериментальная ситуация достаточно адекватне соответствовала теоретической модели.

Положения, выносимые на защиту.

1. Впервые разработана однокомнонентная модель процесса анизотропного травления канавок в кремнии в плазме, в которой основными химически активными являются энергетичные частицы - ионы или нейтральные радикалы того же сорта, образовавшиеся в результате перезарядки этих ионов и области пространственного заряда плазмы. Модель включает различные механизмы многократного рассеяния этил частиц па внутренней поверхности канавки: зеркальный, диффузный или косинусный, смешанный зеркально-диффузный. Показано, что угловое расхождение потока энергетичных частиц, обусловленное их рассеянием на частицах газовой фазы и начальным максвелловским распределением по скоростям, приводит к образованию бочкообразного профиля, заметному сужению дна и уменьшению скорости травления канавки с ростом ее аспектпого отношения (глубина/ширина) в пронессс травления, причем эти эффекты усиливаются с ростом углового расхождения. Уменьшение скорости травления было названо автором апертурпым эффектом.

2. Показано, что при наличии многократных отражений иергетичных частиц от поверхности канавки форма профиля уществеино зависит от характера их рассеяния поверхностью. Сравнение результатов моделирования с известными кснериментальными профилями, полученными при травлении в плазме Л?гГз, позволяет заключить, что для параметров процесса, характерных ,ля плазмохимического и реактивного ионного травления в этой плазме, оля частиц, зеркально отраженных от поверхности канавки, ренебрежимо мала. Показано, что угловое распределение частиц, траженных от поверхности, определяется косинусным законом ассеяния Кнудсепа.

3. Впервые разработана и программно реализована модель ионно-тимулированного травления структур в кремнии в низкотемпературной шогокомнонентпой плазме. Модель включает три основные сорта частиц лазмы, влияющих на скорость травления - энергетичные ионы, имически активные радикалы и радикалы, пассивирующие поверхность. Модель учитывает угловые распределения частиц, коэффициенты их рилинания к поверхности, а также многократные рассеяния всех сортов астии от внутренней поверхности формируемой структуры; законы яссеяния для ионов - зеркальный, диффузный и смешанный зеркально-иффузный, для нейтральных частиц - косинусный и изотропный. На снопе этой модели исследовано влияние параметров плазмы - величины ютоков всех сортов частиц, их углового распределения - на форму [рофилей травления канавок в кремнии. Результаты расчетов снользованы для объяснения известных экспериментальных данных и |аходятся с ними в хорошем количественном согласии.

4. В рамках многокомпонентной модели травления полностью бъяснен апертурный эффект при плазмохимическом и реактивном онном травлении - уменьшение скорости травления с ростом аспектного

отношения структуры (глубина/ширина), а также исследованы все известные механизмы такого уменьшения скорости травления: ограничение потоков частиц входной апертурой структуры, переосаждение продуктов реакции, многократные отражения частиц от внутренней поверхности структуры, эффекты локальных электрических полей в процессе травления, отношение потоков всех сортов частиц, участвующих в процессе травления.

5. Впервые теоретически предсказано существование обратного анертурного эффекта, то есть наличие максимума на зависимости скорости травления структур в кремнии от их аспектного отношения, впоследствии обнаруженного экспериментально. Наличие такого максимума и возможность управления его положением и величиной является предпосылкой для аспектно-независимого травления, очень важного для создания конденсаторов в ячейках памяти в УБИС.

6. Впервые получены аналитические зависимости, связывающие характеристики процесса травления (скорость, время травления) и геометрические параметры структур. Показано, что скорость плазмохимического травления и форма профиля канавки масштабируются с ее аспектным отношением. Обосновано геометрическое подобие профилей канавок различной ширины с одинаковым аспектным отношением, на основе которого предложен метод in situ контроля травления наноструктур.

7. Впервые найдены условия, при которых возможно аспектно-независимое (без анертурного эффекта) плазмохимическое травление структур. Показано, что наличие физической адсорбции к поверхности травления приводит к появлению области значений параметров -отношение потоков химически активных радикалов, пассивирующих радикалов и ионов, температуры поверхности травления, - при которых

шможно достижение такого травления вплоть до аспектных отношений 1-20.

8. Проведен анализ предельных возможностей плазмохимического равления как инструмента для создания наноструктур. Для известных геханизмов ограничения скорости травления с ростом аснектного тношения (уменьшением поперечных размеров) получена симптотическая зависимость предельной глубины травления к от шрины канавки то: к - гоа, где л меняется от нуля для аспектно-езависимого травления до 3/2 в случае ограничения скорости за счет ил изображения, а коэффициент пропорциональности зависит от араметров процесса травления, что может быть использовано при итимизании режимов травления.

Практическая ценность.

В целом данная работа представляет законченное исследование, хватывагощее круг проблем, связанных с созданием глубоких ^бмикронных канавок в кремнии с помощью плазмохимического и еактивного ионного травления. Разработана модель, позволяющая сследовать влияние различных параметров процесса (давление, юратура, состав плазмы и др.) на форму профилей травления, что вляется важным с точки зрения оптимизации процесса. Развитый одход к аспектно-независимому травлению позволяет целенаправленно жать режимы этого процесса, в частности - при пониженных ■мнературах, дающих возможность получать структуры с большим напазоном поперечных размеров (от десятков до десятых долей мкм) и тинаковой глубиной, что существенно, например, при изготовлении знтгеновской оптики.

Получены фундаментальные результаты в области теории анертурного эффекта, в частности, предсказано существование обратного апертурного эффекта, возникающего при отражении энергетичных частиц от поверхности канавки. (Впоследствии существование такого эффекта было доказано экспериментально н работах других авторов). Проанализировано влияние различных механизмов ограничения потоков частиц из плазмы па масштабирование скорости травления и геометрического подобия получаемых структур с аспектным отношением. Предложена модель процесса плазмохимического травления с пассивацией поверхности, позволяющая целенаправленно искать условия, при которых возможно аспектно-независимое травление. Решение сформулированных в диссертации задач имеет и большое практическое значение, поскольку оно позволяет не только дать объяснение наблюдаемых особенностей плазмохимического травления, используемых в реальных процессах, но и дает рекомендации но совершенствованию технологии.

Апробация работы.

Материалы диссертации докладывались на Всесоюзных

семинарах:

- IV Республиканский семинар "Самоформирование" (Шауляй, 1989)

- взаимодействие ионов с поверхностью ВИП (Звенигород, 1991)

- Российская конференция "Микроэлектроника-94" (Звенигород, 1994)

На Международных конференциях:

- вакуумные электронные и ионные технологии УЕ1Т'89 (Варна, 1989 г.)

- но микроэлектронике "М1сгое1ес1тотс5'90" (Минск, 1990 г.)

XI Национальным вакуумным конгресс (Триест, Италия, ИШО г.) по плазменным источникам в разработке новых rexiio.'ioi mi материалов Фрунзе. I!)!)« г.)

Л я Ниропснскля вакуумная конференция 1;VC'-.'J (Вена. Ií)í)l г.) по высоким злектронпым технологиям (Москва, ИИ),> г.) "Математика, компьютер, образование'' (Пущнно. Н)!)7 г.) по микро- н паноге.мюлшим MNI: (.'>к<\ Фракция. 15J9.1 г.: Афины, рения. 1!И>7 г.)

[{(»tipíicMaiiTppcirKHifirj^.iiiKaiyiif.

Результаты описанных в диссертации исследований автора были публикованы в 198!) -1997 годах в работах |Д1-Л19|, приведенных гдельным списком и конце реферата. Из .них же работ взяты рниоднмые и диссертации «|>;tc|inicu н рнсупкн. В тех случаях, когда ыло необходимо упоминание результатов других авторов, на их >езу.1ьтагы сделаны ссылки, список которых дан в конце диссертации.

Iюлмшмктио из работ было выполнено автором либо в соавторстве о студентами н аспирантами, работавшими под руководством автора, и oí рудниками IA1-AI2. АИ-А!8|. либо самостоятельно |А1.'5,А19|. В кепернментальных работах | A l-A1,A(>-A8,AI7 содержащих

еоретическнп анализ, на защиту выносится лишь теоретические езульта ты.

В список работ автора включены обзорные статьи |Л.!.Л10,Л12|, анпсанпые » соавторстве с сотрудниками. В :>тих работах автору рниадлежат теоретические расчеты и полученные па их основе графики, 'остановка задач отдельных их разделов, обсуждение результатов.

Структура диссертации.

Диссертация состоит из предисловия, (i глав, заключения, сниск литературы, списка работ автора и двух приложений. Каждая из гла сопровождается разделом "Выводы", в котором кратко суммируюто основные из сформулированных в данной главе и выносимых па защит; положений. Кроме того, в конце диссертации основные из нолученны: результатов сведены в раздел "Заключение".

Общий объем текста составляет 16 3 страниц, включая cnncoi цитированной литературы. Диссертация содержит 80 рисунков и таблицу. Библиография содержит 111 ссылок, включая нубликацш автора но теме диссертации.

ОСНОВНОЕ СОДЕРЖАНИЕ РАБОТЫ

В Предисловии обоснована актуальность выбранного направления i его практическая значимость.

Диссертация начинается введением (Глава 1), в котором дает« краткая характеристика структурных уровней описание нлазмохимнчсского травления канавок в кремнии, обсуждаются методь теоретического анализа процесса формирования профилей при травление и рассмотрена проблема мнкрооднородностн скорости травления. Более детальное сопоставление этих результатов с полученными в диссертант] дастся непосредственно но ходу изложения материала. В конце Главы приведена постановка задачи, в которой определены основные цели диссертационной работы.

Вторая глава диссертации посвящена изложению модели 1лазмохимического травления 1! отсутствие пассивирующих частиц в 1лазме: примером такой системы выбрана плазма СВгР). Методом фобнон частица Монте-Карло проведено численное исследование |)ормирования профилей травления. Выявлены основные особенности [юрмнрования профилей. В частности, показано, что угловое «нахождение энергетичпых частиц плазмы приводит бочкообразному |рофнлю (1ю\\'Ш£>), отражение частиц от боковых стенок при шределениых условиях приводит к образованию углублений вблизи :раев дна канавки ((тепсЬш^); эрозия каря маски приводит к появлению ¡аклоиа боковых стенок. Найдены условия, при которых начинается мсныпеине скорости травления с ростом глубины канавки с шксированиой шириной - так называемый анертуриый эффект. 1оказапо. что такое уменьшение скорости зависит от аспектного тиошепия структуры, равного отношению глубина / ширина.

На примере прямоугольного профиля теоретически роаналпзировапо изменение скорости травления с ростом аспектного тношепия /1 канаикн (аиертурнын аффект). Предложены возможные ехапизмы анертурпого эффекта, численно исследуется один нз наиболее сроятпых механизмов этого эффекта при плазменном травлении, когда имитирующей стадиен процесса травления является доставка иергетическнх частиц к поверхности. Для случая двумерного аспредедепия ионов но импульсам при коэффициенте прилипания айном единице получены простые предельные соотношения для корости травления и зависимости от Л.

Проведено сравнение результатов моделирования при двумерном и >ехмсрпом распределении эпергстичных частиц но углам. Исследовано лняпие углового расхождения ионного потока на форму профиля давления. Показано, что наличие многократных отражении частиц от

I f

поверх поп и канавки может приводить к появлению обратной анертурпого аффекта, когда скорость травлении является немонотонно! функцией асиектнот »пкшкчнш.

В_третьей главе исследовалось формирование профилей iipi

травлении г. присутствии пассивирующих частиц: примером явлнмто системы SI-(, Oj MI. -Si;(l CyCljl') |.>| u другие. Развита модель учитывающая гри copra частиц: t) химически активные радикалы И,.; 2) пассивирующие радикалы и Л) ноны /.

И Таблице I представлены основные процессы взаимодействия частиц с травящейся поверхностью. Считалось, что процесс травления определяется потоками частиц: lir. R¡„ ¡, для которых можно записать уравнения баланса частим па поверхности:

-=(1 0)sH, Ц, i l.J f <K

ilt

г

tlfí

" Г" 11 -

' ,11 ' , (t)

o i) i ar

где n, , число свободных связен па поверхности (для SK100) о,„=(>.«()• 10"см '). О.,- степени заполпеиия активными п пассивирующими частицами. л коэффициенты нрплппанпя, I - ноток попов. Потоки частиц с учетом отражения от поверхности канавки можно iiaíini из решения интегрального уравнения |(>|:

Н ,.(/?)- /(',<«) i ' jljl (I - тН))-.\ ,{И) i О, ,./(/>' )| Г{Н.ПуП , (2)

где интегрирование профиля канавки 4!.

проводится но двумерному поперечному сечению 1ЧН.Н ) - плотность вероятности попадания частицы

Таблица i. ( )гпоиныс процессы кзаммолспстшш чаппц с ■гранящейся ноиермюпыо. рассма фнпасмме и модели, ii con i'itereжующие им коэффициенты.

I 1|>1>|ИЧ'<|.1

Адсорбция

Десорбция

ЩЧШШ/НШШ

HOI) ilriiiiiiniirii щит'!

Травление

llioilllllllllior »1>г) l)l4l< l»linrv wmiw

Днффулия il» imnc|i*ii(inii

Отражение от iionrpxuoi'TH

.пркч WW

<)lllf)<l>l).IIIIII'

( Kl» nu licuor) и.ичщтпцчг < i/n/m/iir 1>4'1>ф1/. mor) »•¡щи thin) ihujiij:// nmr

An munuc |>а.(нк;).ты

Ib,.

l<„.

It,.

I lainnmpvio lililí' |>a.iiiK:i.iM

J

I f. 'iii.i

11.1 ТОЧКИ II Профн. Iii lï lO'IICV П. Скорос и. грпнлгппя II 104KV Ii определяется как

it, liio-iljll)

v /•...

Ci)

N

1'дс p„„ - атомная плотность материала подложки, х - число активных частиц н частице продукта реакции травления. Выражения (1) - (3) полностью описывают пашу модель травления. Оцепим время выхода процесса, описываемого уравнениями (1), (2) па стационарный режим

/„ *<r,„/max(/./(,,,) (4)

Из этой оценки видно, что если > 10'Vm'V, то i„ составляет

несколько секунд, что существенно меньше общего времени травления, поэтому уравнения (1) можно рассматривать в стационарном случае.

В рамках этой модели были проведены расчеты профилей травления для различных значений параметров, определяющих процесс. Проведено сравнение с экспериментальными профилями. На рнс.1 представлена зависимость скорости травления от аспектпого отношения для канавок, протравленных в кремнии в плазме SFft/C^Cl'jFj. Глубина каждой канавки нормирована на глубину травления каиавки с шириной 4 мкм в центре дна. Видно, что нормированная глубина больше 1 пока А<4. В работе |7| подобное поведение было названо "обратным апертурпым эффектом". В пашей модели подобный эффект обусловлен ионио-стимулированным процессом травления за счет дополнительного потока ионов, отраженных от боковых стенок канавки. Уменьшение нормированной глубины с дальнейшим ростом А, или обычный апертурный эффект, связан с уменьшением потоков частиц на дно канавки за счет геометрического затенения краями маски. Теоретическая кривая качественно согласуется с экспериментальной. Форма кривой сильно зависит от начального отношения потоков ионы/нейтральные частицы, которые были параметрами модели.

1.2

1.1

0.8

1 ..........[■■■ Обратный I ..............1...... Апертурный

апертурный эффект

эффест / \ 1

/ \ 1 / \ 1 / \ 1 / \ 1 /

/ А. ! I В

" -

! А\ )

1 > ▲

1 1 1 ( 1

^ 1 1 А 1 1 . .

10

Рис.1. Экспериментальная (треугольники) и вычисленная (сплошная линия) нормированная глубина травления как функция аспектного отношения при 10% содержании СгСЬ^д 1А17).

В четвертой главе исследовано масштабирование с аспектпым отношением скорости травления и геометрическое подобие профилей при плазмохимическом травлении. Показано, что параметры процесса травления и геометрические параметры получаемых структур связаны соотношением

где 1'"к0 - скорость травлении открытой плоской поверхности. ( - время травления, - ширина канавки, а верхний индекс "О" означает поток из газовой фазы. Па рис.2 представлена эта зависимость.

Исследованы основные механизмы, определяющие скорость травления, выявлены те па них. которые масштабируются с аепектпым отношением. Впервые исследована возможность получения юомегрнчеекп подобных профилен канавок с различной шириной. Показано, чю две канавки с одинаковым начальным аенектпым (Нношением (то.'пцппа маски ширина канавки) и отношением времен травления /. дают две геометрически подобные структуры с КО.'к|к|||1НПС1ПОМ Подобия )..

Предложено использование аффекта масштабирования дли ш хНи контроля окончания процесса травления субмпкроппых канавок п произволе 11И- ПС с помощью лазерной интерферометрии н специальных гее го в

Л?!'"'!____Ц'Ш'С рассмшреиа проблема аспекгпо-независимого

|равлення. Представлена модель, и которой предпола) аетсн. что пассивирующие часшпы на поверхности могут иметь состояния физической адсорбции. В этом случае коэффициент прилипания этих частпн янлиск'я нелинейной функцией степени заполнении |8|; для химически акшппых радикалов используется линейная однослойная модель адсорбции .Ченгмюра. Система уравнении (I) модифицируется, и в стационарном режиме второе уравнение принимает вид:

- к^с/,,/ = О,

(7)

о

15

10

5 -

Л ОГ^Я-кц I

• Обрп*ц 2 О Обратсп 3 ') ()Г>ратс?| 4

♦ Обретет! 5

^ ,

0 2 4 6 8 1

А

Рис.2. Зависимости ЕН()1 /XV от аспектного отношения канавок А для ;ерии тестовых образцов; штриховой линией показана зависимость (5) 1ля гр = 0.176, гу = 0.065.

где К - параметр, зависящий от температуры, а первое и третье уравнения те же, что и в (1). Вводя безразмерные переменные х = ве, у = вр, к- = 1 - К, (Л,е+Л2е)/ = /е, к7р! = 5еКс = Ае, 5рКр = Ар, О = (/г//р)(Ар/Ас), р = /р/Ар , после простых преобразований получаем систему уравнений:

(8а)

х = у-

Р-к-У -

О + к у I-к

С,

>+1 = 0,

(86)

х+у^1. (8в)

Поясним эту систему урлвпевий. Первое из них в явном виде представляет величину степени заполнения активными радикалами

9

ве (в уравнении - это л) как функцию степени заполнения пассивирующими частицами 0р (в уравнении - это у). Случай к- = О

(К=1) даст линейную зависимость х~у/С и представляет Лснгмюровскую модель прилипания для пассивирующих частиц. Если же к >0, то функция х(у) (8а) становится нелинейной, и при к=ксг вообще становится немонотонной в допустимой области значений (8в). Как показано в этой главе, увеличение параметра к соответствует уменьшению температуры.

Второе уравнение не содержит х и легко разрешается относительно у, подставляя у в первое уравнение (8а), находим величину х( р,к,С) как функцию параметров р.к,О- Параметр р, как показано, есть

функция аспектного отношения Л: /3 = Ро\1 + 4А2 , где Ро„{кгр1)1(з П.*) -зависит лишь от отношения ионного потока и потока пассивирующих частиц на плоскую поверхность; параметр С=(к^+кзр)к^Ле) 1,с

зависит от аспектного отношения и определяется отношением потока активных и пассивирующих радикалов на плоскую поверхность. Отметим, что параметры /¡о и С можно изменять независимо друг от друга.

Таким образом, мы можем найти величину х, которая согласно (3) определяет скорость травления. По мере травления канавки увеличивается ее глубина, т.е. возрастает се аснектное отношение Л и увеличивается параметр /? и согласно (86) растет у. Из-за немонотонной зависимости х(у) величина х сначала возрастает, достигает максимума, а потом уменьшается. Это означает, что при определенной температуре (параметр к) должна наблюдаться немонотонная зависимость скорости травления от аспектного отношения А с максимумом при некотором значении А>0, т.е. возникает обратный апертурный эффект. Ширина этого максимума зависит от /?о, а высота - от /¡д и О. Ясно, что такое

поведение скорости травления в нашей модели происходит из-за нелинейной зависимости коэффициента прилипания пассивирующих радикалов от общей степени заполнения поверхности.

Итак, решение системы уравнений (8) позволяет определить степень заполнения активными радикалами х, которая входит в скорость травления (3), и найти искомую зависимость этой скорости от аснектного отношения А. Подбором параметров к, р и (5 удается получить практически постоянную скорость травления вплоть до аспектных отношений А = 20.

Показано, что изменением температуры и соотношения потоков частиц можно добиться аспектно независимого травления с незначительным (до 15%) снижением скорости травления.

Целью шестой главы является исследование предельных зозможностей процесса плазмохимического травления как инструмента ;убмикронной и нанотехнологии. Рассмотрены основные механизмы, зтрапичивающие глубину травления канавки при ее фиксированной иирике. К ним относятся: а) апертурный эффект [А7]; б) эффекты тонального электрического поля [А7,А16,9-12].

Получены асмптотические выражения для предельной глубины •равления как функции ширины канавки (рис.3). Показано, что самое •ильное ограничение - за счет сил изображения, однако для |Кспериментальной проверки необходимо исследование травления труктур с размерами 0.2 мкм и меньше. Кроме того, показано, что при галичии пассивирующего слоя с диэлектрической проницаемостью е на оковых стенках уменьшает этот эффект в е1/2 раз.

Рис.3. Асимптотические зависимости предельной глубины травления от ширины канавки для различных механизмов ограничения: w° - плоская поверхность (ограничений нет); \И/2-анертурный эффект; vv1-зарядка поверхности; \v!/'!- силы изображения; А- экспериментальные точки из работы [131; экспериментальные точки из работы [A7J.

Работы автора по теме диссертации

Основные результаты представлены в следующих публикациях: Al. Абачев М.К., Барышев Ю.П., Кривоспицкий А.Д., Лукичев В.Ф., Орликовский A.A. Самоформирование профиля канавок травления кремния в плазме CBrF3 //IV Республиканский семинар "Самоформированис", Шауляй, 1989.

А2. Abachev M.К., Baryshev Yu.P., Lukichev V.F., Orlikovsky A.A. Aperture cffect in plasma etching of deep silicon trenches//VEIT'89, Varna, Bulgaria, 1989.

A3. Abachev M.K., Baryshev Yu.P., Lukichev V.F., Orlikovsky A.A. Deep anisotropic etching of silicon//7-th International Conf. "Microelectronics'90", Proc. Minsk, !!)!)(), v.2, p. 36-39. A4. Abacliev M.K., Baryshcv Yu.P., Lukichev V.F., Orlikovsky A.A. Aperture effect in anisotropic silicon etching//XI National Vacuum Congress, Trieste, Italy, 1990.

A3. Валucn K.A., Василии А.Г., Орликопский A.A., Лукиче» В.Ф. Проблема создания высоконадежных многоуровневых соединений СБИС// Микроэлектроника, 1990, т.19, №2, с. 116-131. Ali. Baryshcv Yu.P., Liikiehev V.F., Orlikovsky A.A., Sapozhnikov A.V., Sedelnikov A.E. Main problems of plasma chemical trench capacitor technology// International Workshop on Plasma jets in the development if new materials technology, Frunze, USSR, 1990.

A7. Abachev M.K., Baryshcv Yu.P., Lukichev V.F., Orlikovsky A.A., Valiev K.A. Aperture effect in anisotropic silicon etching//Vuoto, v.XX, 1990, №2, ¡>.439-442.

\8. Abachev M.K., Baryshcv Yu.P., Lukichev V.F., Orlikovsky A.A. \perttire effect in plasma etching of deep silicon trenchcs//Vacuum, 1991, /.42, №1/2, p. 129-131.

\9. Baryshcv Yu.P., Kozlovsky V.V., Lukichev V.F., Orlikovsky A.A. Modelling of deej) silicon etching in a multicomponent. plasma//'Proc. 2VC-3, Wien. 1991, p.A167-16i).

\10. Абачев M.K., Барышев Ю.П., Валнев К.А., Лукичев В.Ф., Эрликовский A.A. Фо|)мирование профилей при плазмохимическом гравлепии кремния,// Труды ФТИАН, 1991, т.2, с.49-65. \11. Abachev М.К., Baryshcv Yu.P., Lukichev V.F., Orlikovsky A.A. Modelling of dee|) silicon etching in multi-component plasma//Vacuum, 1992, v.43, №.)/7, р.5()Г)-56(1.

A12. Валиев К.А., Орликовский А.А., Барышев Ю.П., Васильев А.Г., Золотухин М.Н., Исаев К.Ш., Плахов Б.В. Новые субмикронныс технологические процессы для сверхбыстродействую-щей КМОП- и БИКМОП-элсментной базы высоко-Производительных ЭВМ//Труды ФТИАН, 1994, т.8, с.3-16.

А13. Лукичев В.Ф. Моделирование профилей при плазмохимическом травлении кремния//Труды ФТИАН, 1994, т.8, с.37-50. , А14. Лукичев В.Ф., Орликовский А.А., Пащенко В.И. Предельные возможности ПХТ//Российская конф. "Микроэлектроника-94", Звенигород, 1994, с.139-140.

А15. Lukichev V.F., Orlikovsky A.A. Limiting processes in plasma etching//Int.Workshop on Advanced electronic technology, Moscow,

1995, p.66-67.

A16. Лукичев В.Ф., Орликовский А.А. Предельные возможности плазмохимического травления//Труды ФТИАН, 1996, т. 10, с.57-63. А17. Yunkin V.A., Lukichev V.F., Rudenko K.V., Fischer D., Voges E. Experimental study and computer simulation of aspect ratio dependent effects observed in silicon reactive ion etching//Microelcctronic Eng.,

1996, v.30, p.345-348.

A18. Лукичев В.Ф., Зайцев M.B., Зайцев Г.М. Комбинаторный метод оценки коэффициента заполнения//Тезисы IV Международной конф. "Математика, компьютер, образование". Пущипо, 1997, с.62. А19. Lukichev V.F. New approach to aspect ratio independent etching// 23-th 1С MNE'97 Abstr., , Athens, Greece, 1997.

Цитированиая литература

. Технология СБИС: в 2-х кн. Пер. с англ./ Под ред. С.Зи. - М.Мир, 986, 483 с.

. Данилин Б.С., Киреев В.Ю. Применение низкотемпературной плазмы ля очистки и травления материалов. -М.: Энергоатомиздат, 1987, 264 с. . Плазменная технология в производстве СБИС.: Пер. с англ. с жращ./ Под ред. Н.Айнспрука, Д.Брауна. - М.: Мир, 1987, 470 с. . Yunkin V.A. Experimental study of the aperture effect in RIE of deep licon trenches.- Abstr. of 1С ME'92 (Erlangen, Germany). 1992. P. 37-38. Yunkin V.A., Fischer D., and Voges E. Reactive ion etching of silicon ibmicron-sized trenches in SFfi/C2CI3F3 plasma. - Microelectron. Eng., )95, v.27. p. 463-466.

Лукичев В.Ф. Моделирование профилей при плазмохимическом явлении кремния. - Труды ФТИАН, М.:Наука, 1994, т. 8, с. 37-49. Gottscho R.A., Jurgensen C.W., Vitkavage D.J. Microscopic uniformity plasma etching. - J.Vac.Sci.Technol., 1992, v.B10, N5, p.2133-2147. Зенгуил Э. Физика поверхности. - M.: Мир, 1990, 536с. Arnold J.С., Sawin Н.Н. Charging of pattern features during plasma ching. - J.Appl.Phys., 1991, v.70, N10, p.5314-5317. . Davis R.J. Image potentials and the dry etching of submicron trenches th low energy ions. - Appl.Phys.Lett., 1991, v.59,N14, p.1717-1719. . Ingram S.G. The influence of substrate topography on ion mbardment in plasma etching. - J.Appl.Phys.,1990,v.68,N2,p.500-504. . Ootera H., Oomori Т., Tuda M., Namba K.. Simulation of ion ijectories near submicron patterned surface including effects of locai arging and drift velocity toward wafer. - JpnJ.Appl.Phys., 1994, *3, Pt.l, N7B, p.4246-4280.

. Lee Y.H., Zhou Z.H. Feature-size dependence of etch rate in reactive i etching. - J.Electrochem.Soc., 1991, v.138, N8, p.2439-2445.