автореферат диссертации по электротехнике, 05.09.10, диссертация на тему:Разработка низкоэнергетического СВЧ вакуумно-плазменного травления структур микроэлектроники

кандидата технических наук
Терентьев, Сергей Александрович
город
Саратов
год
2002
специальность ВАК РФ
05.09.10
цена
450 рублей
Диссертация по электротехнике на тему «Разработка низкоэнергетического СВЧ вакуумно-плазменного травления структур микроэлектроники»

Оглавление автор диссертации — кандидата технических наук Терентьев, Сергей Александрович

ВВЕДЕНИЕ.

1. Методы и механизмы вакуумно-плазменного травления материалов микроэлектроники.

1.1.Современные требования микроэлектроники к процессу вакуумно-плазменного травления материалов.

1.2. Методы вакуумно-плазменного травления, основанные на физическом распылении обрабатываемого материала.

1.3. Методы вакуумно-плазменного травления материалов, основанные на использовании химических реакций.

1 ^.Использование СВЧ газового разряда для вакуумно-плазменного травления материалов микроэлектроники.

1.5. Постановка задачи.

1.6. Выводы.

2. СВЧ вакуумно-плазменное травление кремнийсодержащих материалов.

2.1. Экспериментальная установка и методики исследований.

2.2. Экспериментальные исследования СВЧ вакуумно-плазменного травления кремнийсодержащих материалов.

2.2.1. Влияние внешнего магнитного поля и СВЧ мощности на скорость травления кремнийсодержащих материалов.

2.2.2.Влияние давления рабочего газа и параметров ионного облучения на скорость травления кремнийсодержащих материалов.

2.3. Физико-химические процессы на поверхности обрабатываемого материала при низкоэнергетическом СВЧ вакуумно-плазменном травлении.

2.4.Физико-химическая модель низкоэнергетического СВЧ вакуумно-плазменного травления кремния во фторосодержащей плазме.

2.5. Селективность Si/Si02 при низкоэнергетическом СВЧ вакуумноплазменном травлении.

2.6. Выводы.

3. СВЧ вакуумно-плазменное травление соединений группы А3В и тонких пленок металлов.

3.1. Экспериментальные исследования СВЧ вакуумно-плазменного травления арсенида галлия.

3.2. Анализ экспериментальных результатов по СВЧ вакуумно-плазмен-ному травлению арсенида галлия.

3.3. Экспериментальные исследования СВЧ вакуумно-плазменного травления тонких пленок металлов.

3.4. Выводы.

4. Анизотропность, структура и качество поверхности материалов при СВЧ вакуумно-плазменном травлении.

4.1. Анизотропность при СВЧ вакуумно-плазменном травлении кремний-содержащих материалов и материалов группы А3В5.

4.2. Качество обработанной поверхности при СВЧ вакуумно-плазменном травлении кремнийсодержащих материалов.

4.3. Качество обработанной поверхности материалов группы А3В5 при

СВЧ вакуумно-плазменном травлении.

4.4 .Выводы.

5.Разработка технологических процессов СВЧ вакуумно-плазменного травления для решения прикладных задач микроэлектроники.

5.1. Плазмостойкость различных маскирующих покрытий при СВЧ вакуумно-плазменном травлении.

5.2. Реализация метода обратной литографии и СВЧ вакуумно-плазменного травления в одном вакуумном объеме.

5.3. Исследования параметров СВЧ ионно-плазменного источника и реализация различных методов травления микроструктур.

Введение 2002 год, диссертация по электротехнике, Терентьев, Сергей Александрович

Актуальность проблемы

Электроплазменные методы обработки находят широкое применение в различных отраслях промышленности. Одним из основных процессов технологии микроэлектроники является вакуумно-плазменное травление (ВПТ), которое широко используется для получения топологического рельефа интегральных схем и приборов функциональной электроники [1-5].

Переход к субмикронным размерам элементов, уменьшение толщин функциональных слоев интегральных схем и микроструктур определяют необходимость значительного повышения разрешающей способности ВПТ, при одновременном обеспечении минимального теплового и радиационного воздействия на поверхность обрабатываемого материала [6, 7].

Применяемые в настоящее время методы ионно-лучевого и реактивного ионно-лучевого травления, обладая высокой разрешающей способностью, основаны на использовании ионных пучков с энергиями 0,5-2,0 кэВ [3,8]. Воздействие ионами высоких энергий приводит к значительным искажениям кристаллической структуры полупроводниковых материалов и другим необратимым явлениям (аморфизация поверхности, внесение радиационных дефектов и т.п.), что значительно ухудшает их электрофизические свойства [9].

Методы ВПТ, основанные на использовании ВЧ газовых разрядов с рабочим давлением 10-100 Па позволяют проводить процесс травления без ионного воздействия на обрабатываемый материал (радикальное травление), но не обеспечивают необходимую разрешающую способность, что обусловлено изотропностью химического механизма травления [2-4].

Для получения качественных микроструктур с субмикронными размерами элементов перспективным является разработка метода ВПТ с использованием химически-активной плазмы СВЧ газового разряда пониженного давления (СВЧ ВПТ) [10-38]. Увеличение разрешающей способности и улучшение качества поверхности обрабатываемого материала в этом методе основываются на повышении направленности движения частиц плазмы за счет увеличения длины их свободного пробега при снижении давления рабочего газа. Кроме того, плазма СВЧ разряда отвечает таким существенным требованиям современной технологии, как низкая энергия заряженных частиц (2030 эВ) при высокой плотности ионного тока (до 2-5 мА/см2). Эти условия обработки качественно отличаются от условий обработки в существующих системах ионно-лучевого и ВЧ плазмохимического травления. При снижении рабочего давления (менее 0,1 Па) и увеличении низкоэнергетического ионного воздействия на обрабатываемый материал существенно изменяется характер протекания плазмохимических процессов. Недостаточная изученность физико-химических процессов и механизма травления различных материалов микроэлектроники в химически-активной плазме СВЧ разряда, является фактором сдерживающим внедрение СВЧ электроплазменных технологий в производство. Также недостаточно исследовано влияние низкоэнергетического ионно-плазменного воздействия на структуру и качество поверхности материалов микроэлектроники (особенно группы А3В5).

В связи с этим возникла необходимость комплексных экспериментальных исследований процесса СВЧ ВПТ широкого набора материалов микроэлектроники, изучение механизма травления материалов с целью управления процессом и получения качественных микроструктур с субмикронными размерами элементов при низкоэнергетическом ионном воздействии на обрабатываемый материал. Изучению этих вопросов посвящена настоящая работа, что определяет ее новизну и актуальность.

Цель и задачи работы

Целью работы является исследование и разработка физико-химической модели механизма низкоэнергетического СВЧ вакуумно-плазменного травления материалов микроэлектроники, разработка технологических процессов для получения топологического рельефа интегральных схем и приборов с субмикронными размерами элементов, при низкоэнергетическом высоковакуумном плазменном воздействии на обрабатываемые материалы.

Для достижения поставленной цели требовалось решить следующие задачи:

1 .Исследовать влияние технологических факторов на параметры травления материалов микроэлектроники (качество обработанной поверхности, скорость, анизотропность и селективность травления).

2.На основе экспериментальных исследований рассмотреть особенности механизма травления в химически-активной плазме СВЧ разряда пониженного давления и разработать физико-химическую модель вакуумно-плазменного травления при низкоэнергетическом плазменном воздействии на обрабатываемый материал.

3. Разработать технологические методики СВЧ ВПТ для получения топологического рельефа с субмикронными размерами элементов на широком наборе материалов микроэлектроники.

Методы и средства исследований

Методы исследований, использованные в работе, включали теоретический анализ физико-химических процессов в газовой фазе и на поверхности обрабатываемого материала при СВЧ ВПТ широкого набора материалов микроэлектроники. Экспериментальные исследования проводились на установке, разработанной для СВЧ ВПТ. Для определения толщины стравленного слоя, анизотропности травления и качества поверхности использовались растровые электронные микроскопы « ZRM-12» и «Super Prob 750», интерференционный микроскоп МИИ-4, оптический микроскоп высокого разрешения "Биолам М". Влияние режимов СВЧ ВПТ на кристаллическую структуру обрабатываемых материалов исследовалось с помощью методов электронографического анализа на электронографе «ЭМР-100». Определение элементного состава поверхности после травления проводилось методом Оже-спектрометрии. Обработка результатов исследований и расчеты теоретической модели проводились с использованием статистических методов на ЭВМ.

Научная новизна работы

1 .Разработана физико-химическая модель механизма травления кремниевых материалов в условиях низкоэнергетического ионно-плазменного воздействия при пониженных давлениях рабочего газа. Показано, что в рассматриваемых условиях процесс травления происходит при малой степени заполнения обрабатываемой поверхности первичными продуктами химических реакций. Это определяет особенности процесса и возможность протекания высокоанизотропного ионно-индуцированного механизма травления.

2. Получены расчетные формулы, позволяющие определить диапазоны изменения технологических параметров процесса: давления рабочего газа, температуры подложки и плотности ионного тока, для которых превалируют ионно-индуцированный или химический механизм в общей скорости травления материалов.

3. Установлено, что образование поверхностных дефектов при низких энергиях ионов и пониженном давлении рабочего газа определяется миграцией первичных продуктов химических реакций с их последующей коагуляцией на локальных неоднородностях материала. Рассчитаны и подтверждены экспериментально критические параметры получения полирующего (бездефектного) и анизотропного травления материала.

4.Показано, что особенностью низкоэнергетического СВЧ вакуумно-плазменного травления материалов группы А3В5 и тонких пленок металлов в галогеносодержащих рабочих газах, являются конкурирующие процессы осаждения углеродных покрытий и удаления окисных фаз с поверхности обрабатываемого материала.

5. Обнаружен и получил объяснение специфический механизм травления материалов с поверхностью покрытой окисным слоем, характеризующийся образованием в приповерхностном объеме газонаполненных микропузырей.

Практическая ценность работы

Практическую ценность для разработчиков технологических процессов и оборудования представляют:

1 .Технологические методики высокоанизотропного низкоэнергетического плазменного травления основных материалов микроэлектроники.

2.СВЧ ионно-плазменный источник химически активных частиц и результаты экспериментальных исследований технологических возможностей этого источника.

3. Технологические методики реализации метода обратной литографии в ходе одного технологического процесса.

4 .Разработанный универсальный электротехнологический центр обработки структур микроэлектроники с совмещением различных технологических процессов в одном вакуумном объеме.

Реализация результатов работы

Технологические методики и опытная электротехнологическая установка СВЧ ВПТ соединений А3В5 и снятия фоторезиста в одном технологическом цикле внедрены на предприятии п/я В-8240. Результаты работы вошли в отчеты по ряду НИР, выполненных по планам Российской Академии Наук.

На защиту выносятся следующие научные положения и результаты:

1. Экспериментально-аналитическая модель низкоэнергетического травления кремнийсодержащих материалов во фторосодержащей плазме пониженного давления, основанная на решении уравнений адсорбционно-десорбцион-ного баланса, позволяет рассчитать вклад различных механизмов в результирующую скорость травления в зависимости от основных операционных параметров процесса.

2. В диапазоне низких давлений и низких энергий ионов образование дефектов травления определяется процессами миграции химически активных частиц плазмы по поверхности и их коагуляции на локальных неоднород-ностях обрабатываемого материала. Увеличение плотности ионного тока и снижение температуры образца определяют возможность получения бездефектного и высокоанизотропного характера травления.

3. Низкоэнергетическое вакуумно-плазменное травление соединений А3В5 и тонких пленок металлов в галогеносодержащих газах определяется конкурирующими процессами удаления окисного слоя с поверхности и осаждением углеродных покрытий на обрабатываемый материал.

4. Показано, что выбирая электротехнологические параметры СВЧ разряда, можно получить качественные структуры микроэлектроники с субмикронными размерами элементов при низкоэнергетическом воздействии химически активной плазмы на обрабатываемый материал.

Апробация работы

Основные результаты диссертации докладывались на 2 международных, 6 всесоюзных и отраслевых конференциях и семинарах, в том числе на V научно-технической конференции «Применение СВЧ энергии в энергосберегающих технологических процессах», (Саратов, 1986), I Всесоюзном совещания «Высокочастотный разряд в волновых полях», (Горький, 1987), VIII Всесоюзной конференции «Взаимодействие атомных частиц с твердым телом», (Москва, 1989), II Всесоюзном совещании «Высокочастотный разряд в волновых полях» (Куйбышев, 1989), VII Международной конференции по микроэлектронике (Минск, 1990), Поволжской научно-технической конференции «Электротехнология на рубеже веков» (Саратов, СГТУ, 2001), а также на научных семинарах СО ИРЭ АН РФ.

Публикации

Материалы, отражающие основное содержание работы, изложены в 1 аналитическом обзоре, 7 статьях, 8 тезисах докладов на национальных и международных научно-технических конференциях и симпозиумах. Получено 2 авторских свидетельства на изобретения. Результаты работы отражены в отчетах по НИР и ОКР, имеющих номера государственной регистрации, в которых автор являлся ответственным исполнителем.

Структура и объем диссертации

Работа состоит из введения, пяти глав, заключения, списка литературы (111 наименований), двух приложений, содержит 162 страницы, из них 71 рисунок на 37 страницах.

Заключение диссертация на тему "Разработка низкоэнергетического СВЧ вакуумно-плазменного травления структур микроэлектроники"

Основные результаты работы заключаются в следующем. l.Ha основе анализа физико-химических процессов в газовой фазе СВЧ разряда и на поверхности обрабатываемого материала разработана физико-химическая модель низкоэнергетического травления кремния во фторосодержащей плазме пониженного давления. Из проведенных согласно модели расчетов следует, что особенностью процесса травления в данном диапазоне давлений является малое заполнение поверхности первичными продуктами химических реакций. Это обуславливает сложное сочетание двух одновременно действующих механизмов травления: химического и ионно-индуцированного. Модель позволяет рассчитать вклад каждого из механизмов в результирующую скорость травления в зависимости от основных технологических параметров процесса (давления, температуры подложки, плотности ионного тока).

2.В результате экспериментальных исследований низкоэнергетического СВЧ ВПТ соединений А3В5 определена специфика действия механизмов травления в диапазоне низких давлений с учетом конкурирующих процессов осаждения углеродосодержащих покрытий и образования окисных фаз на поверхности обрабатываемого материала.

3.Определен и запатентован состав рабочего газа для травления соединений А3В5 на основе различных хлоросодержащих газов и кислорода, позволяющий получить высокое качество обработанной поверхности. Впервые получены субмикронные топологические структуры на арсениде галлия при низкоэнергетическом (не более 50 эВ) ионном воздействии на обрабатываемый материал.

4. Обнаружен экспериментально и обоснован теоретически специфический механизм травления материалов с поверхностью, покрытой окисным слоем, связанный с образованием в приповерхностном слое газонаполненных блистеров (микропузырьков). Подобный механизм травления в различной степени характерен для всех материалов с поверхностными окислами при высоких энергиях облучающих ионов.

5.На основе анализа экспериментальных исследований определены наиболее значимые технологические факторы, определяющие анизотропность травления и качество поверхности обработанного при СВЧ ВПТ материала. На основании модельных расчетов показано, что образование дефектов травления при СВЧ ВПТ связано с миграцией первичных продуктов химических реакций по поверхности с их последующей коагуляцией на локальных неод-нородностях материала. Рассчитаны и подтверждены экспериментально технологические параметры бездефектного и высокоанизотропного плазменного травления.

6. Исследована плазмостойкость различных маскирующих покрытий при низкоэнергетическом высоковакуумном травлении. Установлено различие в механизмах деструкции электронных и фоторезистов на основе РММА (ЭРН-14с, ЭРП- 40, ФП- 4). Для резистов типа ЭРН преобладающим является химический механизм взаимодействия и сильной зависимостью от температуры образца. Для резистов типа ЭРП и ФП преобладающим является зарядовый механизм деструкции с высокой энергией активации процесса травления. Разработаны и запатентованы технологические методики проведения процесса обратной литографии и СВЧ вакуумно-плазменного травления в одном вакуумном объеме. Данный метод позволяет получить топологический рельеф из металлов, травление которых обычными плазменными методами невозможно или затруднено (например, металл не образует летучих соединений с плазмообразующими газами).

7. На основании проведенных исследований разработаны и внедрены:

- технологические методики получения субмикронного топологического рельефа на широком наборе материалов микроэлектроники;

- ионный источник с СВЧ газовым разрядом, для получения пучков химиче

149 ски активных ионов до 100 мм в диаметре, что позволяет эффективно реализовать ионно-лучевое и реактивное ионно-лучевое травление различных материалов микроэлектроники; оборудование и технология для проведения процесса обратной литографии и СВЧ вакуумно-плазменной обработки в одном вакуумном объеме.

ЗАКЛЮЧЕНИЕ

Библиография Терентьев, Сергей Александрович, диссертация по теме Электротехнология

1.Гуляев Ю. В. Физические ограничения минимальных размеров элементов современной микроэлектроники//Успехи физических наук, 1984.- Т. 144.-С.475-495.

2. Данилин Б.С., Киреев В.Ю. Применение низкотемпературной плазмы для травления и очистки материалов. М.: Энергоатомиздат, 1987. - 264 с.

3. Ивановский Г.Ф., Петров В.И. Ионно-плазменная обработка материалов. -М.: Радио и связь, 1986.- 232 с.

4. Айнспрук Н., Браун Д. Плазменная технология в производстве СБИС: Пер. с анг.- М.: Мир,1987. 469 с.

5. Pang S.W. Surface damage on GaAs induced by reactive ion etching and sputter etching. //J. Electrochem. Soc., 1986.- V.133.- № 4.- P.784-787.

6. Технология СБИС: Пер.с англ./Под. ред.С. Зи.- М.: Мир, 1985. 404 с.

7. Тонкие пленки. Взаимная диффузия и реакции: Пер. с анг. / Под. ред. Дж. Паута, К. Ту, Дж. Мейера.- М.: Мир, 1982.- 576 с.

8. Броудай И., Мерей Дж. Физические основы микротехнологии: Пер. с анг.-М.: Мир, 1985.- 496 с.

9. Данилин Б.С., Киреев В.Ю. Ионное травление микроструктур. М.: Сов. Радио. 1979.- 104 с.

10. Suzuki К., Okudaira S., Sakudo N., Kamonata J. Microwave plasma etching // Jap.J.Appl.Phys.,1977.- V 16.- № 11.- P.1979-1984.

11. Sugano T. Applications of Plasma Processes to VLSI-Technology.- Wiley Interscience publication, 1985.- 516 p.

12. Коэн 4. СВЧ-установка для плазменного травления в магнитном поле // Электроника, 1980,-Т.53.-№ 26.- С.15-16.

13. Ninomiya К., Suzuki К., Nishimatsu S.,0kada 0. Role of Sulfur atoms in microwave plasma etching of Silicon // J. Appl. Phys., 1987.- V 62.- № 4.-P.1459-1468.

14. Suzuki K., Ninomiya K., Nishimatsu S., Okudaira S. Radio-frequency biasedmicrowave plasma etching technique: A method to increase Si02 etch rate // J. Vac. Sci. Technol., 1985.- V. В 3.- № 4.- P.1025-1034.

15. Ninomiya K., Suzuki K., Nishimatsu S., Gotoh Y., Okada O. An experimental system for surface reaction studies in microwave plasma etching // J. Vac. Sci. Technol., 1984.- V. В 2.- № 4.- P.645-652.

16. Бубнов H. А., Кабанов В.Ф., Майсков И. Ю., Яфаров Р. К. Травление кремния, поликремния и двуокиси кремния на установке микроволнового плазмохимического травления.// Электронная техника. Сер. Микроэлектроника, 1986.- № 3.- С. 115-119.

17. Вигдорович В.Н., Гуляев Ю.В., Яфаров Р.К. Высоковакуумное травление гетероструктурных материалов сверхвысокочастотной плазмой в магнитном поле в условиях электроно-циклотронного резонанса.// Доклады Академии наук СССР, 1988.- Т.300.- № з. С.604-607.

18. Гуляев Ю.В., Яфаров Р.К. Эффективность использования мощности в установках СВЧ вакуумно-плазменной обработки структур микроэлектроники.- М.: Препринт ИРЭ АН СССР, 1989.- № 15(516).- 28 с.

19. Гуляев Ю.В., Яфаров Р.К. Энергетические и конструктивные особенности установок СВЧ вакуумно-плазменного травления для создания устройств функциональной электроники с субмикронными размерами элементов.- М.: Препринт ИРЭ АН СССР, 1988,- № 14(489).- 18 с.

20. Яфаров Р.К., Терентьев С.А. Исследование плазмы СВЧ газового разряда для вакуумно-плазменного травления структур микроэлектроники // Тезисы докладов II Всесоюзного совещания "Высокочастотный разряд в волновых полях ".- Куйбышев, 1989.- С.48-49.

21. Asmussen J. Electron cyclotron resonance microwave dischargea for etching and thin-film deposition.// J.Vac.Sci.Tecnol., 1989.- V.7.- №3.- P.883-893.

22. Takahashi C., Kiuchi M., Ono Т., Matsuo S. An electron cyclotron resonance plasma deposition technique employing magnetron mode sputering // J.Vac.Sci.Technol., 1988.- V.A 6.- № 4.- P.2348-2352.

23. Уолтер С., Леунг С., Куппель Дж. Характеристики компактного СВЧ источника ионов. //Приборы для научных исследований,1986.-№8.-С.65-69.

24. Hammer К., Weissmantel С. Ionenguelle mit Plasma Auregung durch Mikrowellen bei Elektrozonen-Zyklotron Rezonanz.//Annalen der Physik, 1985.- F 7,- B.42-H 4,- S.432-444.

25. Walther S.R., Leung K.N. Operational characteristic of a compact microwave ion source. //Vacuum, 1986.-V.36.-№ 11-12,-P.869-871.

26. Lossy R., Engemann J. Characterisation of a reactive broud beam radiofrequency ion source//J.Vac.Sci.Technol., 1988.- V. B(6)/l.- P.284-287.

27. Рут С., Асмуссен Дж. Характеристики ионного источника с плазменным диском в СВЧ резонаторе // Приборы для научных исследований, 1985.-№8.-С. 10-20.

28. Matsuo S., Adachi Y. Reactive ion etching using a broad beam ECR ion source // Jap. J. Appl. Phys., 1982.- V.21.- № 1.- p.4-6.

29. Popov 0. A. Characteristics of electron cyclotron resonance plasma sputering // J. Vac. Shi. Tecnol., 1989.- V. A7.- №3.- P.894-898.

30. Dahimene M., Asmussen J. The performance of a microwave ion source immersed in a multicasp static magnetic field // J. Vac. Sci. Technol., 1986.-V.B 4.- № 1.- P.126-130.

31. Asmussen J., Dahimene M. The experimental test of a microwave ion beam source in oxygen // J. Vac. Sci. Technol., 1987.- V. B5.-№1.- P.328-331.

32. Burke R.R., Pomot C. Microwave multipolar plasma for etching and deposition // Solid State Techol., 1988.- V.31.- № 2.- P.67-71.

33. Suetsugu Y., Kavai Y. Temporal behaviour of ECR plasma produced by a Lisitano coil // Japan. J. Appl. Phys., 1984.- V.23.- № 2.- P.237-241.

34. Pat.2.583250. 1C4H06H1/48. Procede et dispositti d'excitation d'un plasma par micro-ondes e la resonante cyclotronique electronicques / Pichot M., Pelletier J., Arnal Y. (France).- Publ.07.07.85. 5 p.

35. Suzuki K., Okudaira S., Nishimatsu S., Usami K., Kanomata J. Microwave plasma etching of Si with and SF6 gas // J. Electrochem. Soc.: Solid State Technology, 1982.-V.129.-№ 12,-P.2764-2769.

36. Suzuki K., Okudaira S., Kanomata J. The roles of neutral active species in microwave plasma etching // J. Electrochem. Soc., 1979.- V.126.- № 6,- P. 10241028.

37. Pelletier J., Cooke M.J. Microwave plasma etching of Si and Si02 in halogen mixtures: Interpretation of etching mechanisms // J. Vac. Sci. Technol., 1989.-V.B7.- № 1.-P.59-67.

38. Яфаров P.K., Терентьев C.A. Процессы определяющие эффективность вакуумно-плазменного травления соединений A3 В5 // Тезисы докладов V науч. тех. конф. "Применение СВЧ энергии в энергосберегающих технологических процессах". Саратов, 1986.- С.18-19.

39. Sigmund P. Theory of Sputtering I: Sputtering Yield of Amorphous and Poly-crystalline Targets // Phys. Rev., 1969,- № 2 (184).- P. 12-149.

40. Schwarz S.A., Helms C.R. Statistical model of Sputtering // J. Appl. Phys., 1979.-№50.- P. 5492-5505.

41. Winters H.F., Coburn J.W. Plasma etching a discussion of mechanisms // J. Vac. Sci. Tecnol, 1985.- V.B3.- № 5.- P. 1376-82.

42. Petit В., Pelletier J., Pomot C. Vacuum deposition of thin films // Rev. Sci. Technol, 1985.- V. B3.- № 6,- P.377-384.

43. Киреев В.Ю., Данилин B.C., Кузнецов В.И. Плазмохимическое и ионно-химическое травление микроструктур. М.: Радио и связь, 1983.- 126 с.

44. Chinn Y.D., Adesida I., Wolf E.D. Chemically assisted ion beam etching for submicron structures // J. Vac. Sci. Technol., 1983.- V.B1.- № 4.- P. 10281032.

45. Горбатов Ю.Б., Зиненко В.И., Вяткин А.Ф. Ионное травление кремния в присутствии потока фторосодержащих радикалов // Поверхность, 1990.-№7.- С.98-103.

46. Моррисон Дж. Физическая химия поверхности. М.:Мир, 1986.- 388 с.

47. Pomot С., Mahi В., Petit В., Arnal Y., Pelletier J. Anisotropic etching of silicon using an SF/Ar microwave multipolar plasma // J. Vac. Sci. Technol., 1986.- V.B4.- № 1.- P.1-5.

48. Takasaki N.A., Ikawa E., Kurogi Y. Surface study after Ar ion-assisted CI etching // J. Vac. Sci. Technol., 1986.- №4.- P.806-811.

49. Root J., Asmussen J. Experimental performance of a microwave cavity plasma disk source// Rev. Sci. Instrum.,1985.-V.5.- №8.- P.1511-1519.

50. Sugata S., Asakawa K. GaAs radicaletching with a Cl2 plasma in reactive ion beam etching system // Jap. J. Appl. Phys., 1984.- V.23.- № 8.- P.564-566.

51. Asakawa K., Sugata S. GaAs and AlGaAs anisotropic fine pattern etching using a new reactive ion beam etching system // J. Vac. Sci. Technol., 1985.-V.3.- № 1.- P.402-406.

52. Sugata S., Asakawa K. Characterisation of damage on GaAs in a reactive ion beam etching system using Schottky diodes // J.Vac.Sci.Technol.,1988.-V.B l.-№6.- P.876-879.

53. Sonek G.J., Baliantyne J. Reactive ion etching of GaAs using ВС 13 // J. Vac. Sci. Technol, 1984,.- V.2.- № 4.- P.653-657.

54. Barker R.A, Mayer T.M, Burton R.H. Surface composition and etching of III-IV semiconductors in CI ion beams // Appl. Phys. Lett., 1982.- V.40.- №7.-P.583-586.

55. Powell R.A. Reactive ion beam etching of GaAs in CC14// Jap. J. Appl. Phys, 1982,- V.21.- №3,- P.170-172.

56. Smolinsky G. Plasma etching of III-V compound semiconductor materials and their oxides //J. Vac. Sci. Technoi, 1981.- V.18.- № 1.- P.12-16.

57. Semura S. Reactive ion etching of GaAs in CC14/H2 and CC14 Ю2 // J. Appl.

58. Phys., 1984,- V.55.- № 8.- P.3131-3135.

59. Nagata K., Nakajima O., Ishibashi T. Surface damage of reactive ion beam etching GaAs // Jap. J. Appl. Phys., 1986,- V.25.- № 6.- P.510-512.

60. Животнов В.К., Русанов В.Д., Фридман A.JI. Диагностика неравновесной химически активной плазмы. -М.: Энергоиздат, 1985.- 216 с.

61. Райзер Ю.П. Основы современной физики газоразрядных процессов. -М.: Наука, 1980.-415 с.

62. Мак-Дональд А. Сверхвысокачастотный пробой в газах. -М.: Мир, 1969.212 с.

63. Голант В.Е., Жилинский А.П., Сахаров С.А. Основы физики плазмы.-М.: Атомиздат, 1977.- 346 с.

64. Фальковский О.И. Техническая термодинамика.-М.: Связь, 1978.- 432 с.

65. Алексеева Л.П., Башкир Б.С. СВЧ-плазмотрон низкого давления // Тезисы докладов III Всесоюзного симпозиума по плазмохимии «Плазмохимия-79»,- М.: Наука, 1979.- Т.2.- С.234-236.

66. Heidenreich J.E., Paraszczak J.R., Moisan М., Sauve G. Electrostatic probe analysis of microwave plasmas used for polymer etching // J. Vac. Sci. Technol.,1987.- V.5.- № 1.- P.347-354.

67. Heidenreich J.E., Paraszczak J.R., Moisan M., Sauve G. Electron energy distributions in oxygen microwave plasmas // J. Vac. Sci. Technol., 1988.- V.6.-№ 1.- P.288-292.

68. Nieuwenhove R.Van., Cost G. Van. Novel Langmuir probe technique for the real time measurement of the electron temperature // Rev. Sci. Instrum., 1988.-V.59.- № 7.- P.1053-1056.

69. Токигути Т., Сакудо Т., Конке М. Эксперименты по выводу пучка ионовиз СВЧ источника // Приборы для научных исследований, 1986.- №8.-С.59-64.

70. Яфаров Р.К., Терентьев С.А. Особенности вакуумно-плазменного травления соединений А3 В5 // Тезисы докладов VIII Всесоюзной конференции "Взаимодействие атомных частиц с твердым телом". Москва, 1987.- Т.1..- С.197-198.

71. Torii Y., Shimada M., Watanabe I. Very high current ECR ion source for an oxygen ion implanted // Nucl. Instr. Methods Phys., 1987.- № 21.- P.178-181.

72. Herak T.V., Chau T.T., Thomson D.J., Mejia S.R., Buchanan D.A., Kao K.C. Low-temperature deposition of silicon dioxide films from electron cyclotron resonant microwave plasmas // J.Appl.Phys.,1989.- V.65.- № 6.- P.2457-2463.

73. Joubert O., Burke R., Vallier L., Martinet C., Devine R.A.B. Influence of ion energy on the physical properties of plasma deposited Si02 films // Appl. Phys. Lett., 1993.- V.62.- № 3.- P.228-230.

74. Walther S.R., Leung K.N., Ehlers K.W., Kunkel W.B. Generation of oxide, carbon and metallic ion beams by a compact microwave source // Nucl. Instr. Methode Phys. Res., 1987.-V.B1.-№21.-P.215-217.

75. Яфаров P.K., Терентьев C.A. Исследование эффективности поглощения СВЧ мощности плазмой от величины и конфигурации внешнего магнитного поля // Тезисы докладов II Всесоюзного совещания «Высокочастотный разряд в волновых полях» Куйбышев, 1989.- С.80-81.

76. Фундаментальные и прикладные аспекты распыления твердых тел: Сб. статей/ Пер. с анг. М.: Мир, 1989. - 349 с.

77. Распыление твердых тел ионной бомбардировкой: Пер. с анг./ Под ред. Р. Бериша. М.: Мир,1984. 335 с.

78. Яфаров Р.К., Мевлют Ш.Т., Терентьев С.А. Механизм высоковакуумного низкоэнергетического травления кремния во фторосодержащей плазме // ЖТФ, 1993.- Т.63.- №.6,- С.96-103.

79. Трепнел Б. Хемосорбция. М.: ИЛ, 1958.- 326 с.

80. Адамсон А. Физическая химия поверхности. М.: Мир, 1979.- 569 с.

81. Рабинович В.А., Хавин З.Я. Краткий химический справочник Л.: Химия, 1978.-392 с.

82. Абачев М.К., Антонов С.Л. Ионно-возбуждаемые гетерогенные процессы при анизотропном травлении монокристаллического кремния в низкотемпературной плазме CB2F2 // Микроэлектроника, 1988.- Т. 17,- №.2.-С.119-127.

83. Melts М., Barker A. Anisotropy plasma etching of polysilicon using SF6 and CF2C12 // J. Vac. Sci. Tecnol, 1983,- V.I.- № 2.- P.629-635.

84. Яфаров P.K., Петросян В.И., Терентьев С.А. Влияние кислорода на травление кремнийсодержащих материалов в плазме СВЧ-разряда.// Поверхность, 1993, №4, с.38-43.

85. Scifres D.R., Burnham R.D., Streifer W. Heterojunctions in Integrated Optics // J. Vac. Sci. Technol., 1977,- V.14.- № 1. p.186-194.

86. Evtuhov V., Yariv A. GaAs and GaAlAs Devices for Integrated Optics// IEEE Trans. Microwave Theory Tech., 1975.- № 1 .- P.44-57.

87. Bell A. An Introduction to Plasma Processing // Solid State Technology, 1978.-№ 4.- P.89-94.91 .Масс-спектрометрия и химическая кинетика // Сб.статей. Под ред. В.Л.Тальрозе. М.: Наука, 1985.- 344 с.

88. Яфаров Р.К., Терентьев С.А. Вакуумно-плазменное травление соединений А3В5 в различных схемах травления // Материалы VII Международной конференции по микроэлектроники.- Т.2. Технология микроэлектроники.1. Минск, 1990.- С.175-177.

89. Игнатьев А.С., Терентьев СЛ., Яфаров Р.К. Низкоэнергетическое травление GaAs в хлоросодержащем газовом СВЧ разряде с ЭЦР // Микроэлектроника, 1993,- Т.22,- №4.- С. 14-21.

90. Яфаров Р.К., Терентьев С.А. СВЧ вакуумно-плазменное травление тонкопленочных материалов с собственными защитными покрытиями. Тезисы докладов Поволжской научно-технической конференции «Электротехнология на рубеже веков» СГТУ, 2001 г.

91. Musil J. Microwave plasma: its characteristics and applications in thin film technology//Vacuum, 1986.-V.36.-№ 1-3.-P. 161-169.

92. Яфаров P.K., Терентьев С.А. Кинетика травления тонких пленок алюминия ионами хлора низких энергий.// Поверхность, 1991.- № 5.- С.146-147.

93. Гуляев Ю.В., Яфаров Р.К. Микроволновое ЭЦР вакуумно-плазменное воздействие на конденсированные среды в микроэлектронике (Физика процессов, оборудование, технология). Зарубежная электронная техника, 1997.-№ 1.- С.77-120.

94. Дикарев Ю.И., Есин В.И., Науменко Н.И. Обработка поверхности кремния в галогеносодержащей плазме // Физика и химия обработки материалов, 1983.- №4.- С.61-65.

95. Григонис А.И., Савицкас Р.И. Структура поверхности монокристалла после ПХТ в различных соединениях фтора // Физика и химия обработки материалов, 1983.- №4.- С.61-65.

96. Mahi В., Arnal Y., Pomot С. The etching of silicon in diluted SF6 plasmas: Correlation between the flux of incident species and the etching kinetic // Vac. Sci. TechnoL, 1987,- V.B5.- № 4,- P.657-666.

97. Ono Т., Oda M., Takahashi C., Matsuo S. Reactive ion stream etching utilising an electron cyclotron resonance plasma // J.Vac.Sci.Technoi., 1986.- V.4.-№3.- P.696-700.

98. Poland J.P., Marcoux P.Y., Cherringtou B.E. Endpoint detection in plasma etching // J. Vac. Sci. Tecnol., 1985.- V.A3.- № 3.- P.631-638.

99. ЮЗ.Яфаров P.K., Мевлют Ш.Т., Терентьев С.А. Проявление дефектов поверхности при высоковакуумном плазменном травлении кремния // Письма в ЖТФД992.- Т.18.- №15- С.46-49.

100. Киреев В.Ю., Смирнова З.И., Шукатко Г.В., Ястребов В.Г. Влияние ион-но-лучевого и реактивного ионно-лучевого травления на состояние поверхности кремниевых пластин // Электронная техника. Сер.6. «Материалы», 1983.-№.6(179).-С.26-28.

101. Трофимов В.И. Природа центров зародышеобразования при вакуумной конденсации.// Физика твердого тела. 1975.- Т.17-. №5.- С.1249-1252.

102. Балакин А.О., Вигдорович В.Н., Терентьев С.А. Яфаров Р.К. Исследование плазмостойкости резистов в кислороде в зависимости от параметров процесса СВЧ вакуумно-плазменного травления // Поверхность, 1990.-№ 2.- С.123-127.

103. Антонов СЛ., Барышев ЮЛ., Валиев К.А., Лукьянов И.Ю., Мокроусов К.Я., Орликовский А.А. Исследование температурной зависимости скорости травления ПММА в плазме CF4 в реакторе диодного типа // Поверхность, 1987.- № 2.- С.91-95.

104. А.С. 1575835 СССР. МКИ HOI L 21/306. Способ формирования мезаст-руктур на поверхности полупроводниковой подложки / Яфаров Р.К., Терентьев С.А., Заявл. 16.05.88 ; Опубл.01.03.90.- 5 с.