автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.12, диссертация на тему:Развитие математического и программного обеспечения подсистемы тестирования для САПР аналогых и смешанных интегральных схем

кандидата технических наук
Мосин, Сергей Геннадьевич
город
Владимир
год
2000
специальность ВАК РФ
05.13.12
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Развитие математического и программного обеспечения подсистемы тестирования для САПР аналогых и смешанных интегральных схем»

Автореферат диссертации по теме "Развитие математического и программного обеспечения подсистемы тестирования для САПР аналогых и смешанных интегральных схем"

На правах рукописи

т оа

МОСИН Сергей Геннадьевич

РАЗВИТИЕ МАТЕМАТИЧЕСКОГО И ПРОГРАММНОГО ОБЕСПЕЧЕНИЯ ПОДСИСТЕМЫ ТЕСТИРОВАНИЯ ДЛЯ САПР АНАЛОГОВЫХ И СМЕШАННЫХ ИНТЕГРАЛЬНЫХ СХЕМ

Специальность 05.13.12 - системы автоматизации проектирования (промышленность)

Автореферат диссертации на соискание ученой степени кандидата технических наук

Владимир 2000

2 ? [¿К "Г.1СЛ

Работа выполнена во Владимирском государственном университете.

Научный руководитель

Официальные оппоненты:

Ведущая организация

- доктор технических наук, профессор В.Н. Ланцов

- доктор технических наук, профессор В.Н. Ильин

- доктор технических наук, доцент В.П. Крылов

- государственное унитарное предприятие ВНИИ «Сигнал», г. Ковров

Защита состоится 49 - декабря 2000 г. в часов минут на заседании диссертационного совета К.063.65.02 Владимирского государственного университета по адресу: 600000, г. Владимир, ул. Горького, 87, ауд. 211-1.

С диссертацией можно ознакомиться в библиотеке Владимирского государственного университета.

Автореферат разослан "

ноября 2000 г. Ученый секретарь диссертационного

совета доктор технических наук /УЦ^л^ И.Е.Жигалов

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность работы. В последние годы наметилась устойчивая тенденция роста производства смешанных ИМС. Во многом этому способствует широкое развитие таких высокотехнологичных приложений, как беспроводная связь, компьютерные и сетевые технологии, цифровая обработка аналоговых данных и др. Особенностью смешанных ИМС является размещение аналоговых и цифровых подсхем на одном кристалле или нескольких кристаллах, помещенных внутрь одного корпуса. Данное решение позволяет существенно сократить габариты устройств, а также повысить качество обработки данных.

Наиболее трудоемким и дорогостоящим этапом при создании ИМС является тестирование, которое позволяет обеспечить высокие требования по надежности и качеству функционирования проектируемых схем, установить соответствие выходных характеристик их спецификациям. Чрезвычайная сложность задачи тестирования смешанных ИМС определяется такими факторами, как, во-первых, различные особенности функционирования аналоговых и цифровых подсхем, во-вторых, различная степень влияния неисправностей на работу этих подсхем.

К настоящему времени разработано множество методик тестирования цифровых схем, для аналоговых же схем универсальных методик не существует. Поиск тестовых решений здесь ведется для определенных классов устройств или даже для конкретных схем. При этом привлекаются следующие подходы: структурное тестирование, функциональное тестирование, методы сканирования (ШЕЕ PI 149.4), ЛЬТ-подходы. В настоящее время наиболее эффективным и широко используемым подходом к аналоговому тестированию является функциональное тестирование, которое заключается в подаче на вход устройства тестовых воздействий и верификации его выходных откликов. На основании анализа выходных реакций принимается решение, исправна исследуемая схема или нет. Преимущества данного подхода по сравнению с другими объясняются простотой формирования входных тестовых воздействий, которое осуществляется еще на этапе проектирования устройств (DFT), легкостью контроля соответствия выходных характеристик их техническим спецификациям, решением задачи диагностики и др. Повышение эффективности данного подхода связывают с автоматизацией процессов формирования входных тестовых воздействий, разрабатывая и используя для этого средства САПР тестирования (ТСАПР).

Широко исследуемым направлением современного автоматизирован-

ного проектирования ИМС является разработка методик формирования сценариев тестовых мероприятий. Все современные средства САПР основываются на привлечении подхода тестопригодного проектирования на ранних этапах разработки устройств, что позволяет использовать в полном объеме функциональные особенности ИМС при формировании для них тестовых решений, что крайне важно при разработке высоконадежных аналоговых и смешанных интегральных схем.

Отечественная прикладная наука добилась определенных успехов в разработке методов и средств параметрического контроля интегральных схем. Идеи и методы контроля, связанные с вопросами комплексного обеспечения надежности и качества полупроводниковых приборов и интегральных схем, изложены в работах известных отечественных ученых: H.H. Горюнова, Ю.Г. Миллера, A.A. Чернышева, Т.И. Алексаняна, Я.В. Малкова и др. Среди зарубежных ученых, внесших свой вклад в разработку методов и средств тестирования и диагностики аналоговых интегральных схем следует выделить: Дж. Бэндлер, Б. Каминска, Б. Куртуа, А.Э. Салама и др.

Актуальными задачами функционального тестирования аналоговых и смешанных ИМС являются разработка и реализация новых методов выбора типа входных тестовых воздействий, методов построения компактных структур, осуществляющих верификацию выходных откликов, а также методов, решающих задачу диагностики различных видов неисправностей. Кроме того, актуальной задачей является создание подсистем САПР, осуществляющих синтез и анализ тестов, а также сопрягаемых со стандартами САПР схемотехнического моделирования аналоговых и смешанных ИМС.

Цель работы. Исследование и развитие методов тестирования аналоговых и смешанных интегральных схем и разработка на их основе математического и программного обеспечений подсистемы, осуществляющей формирование компактных многочастотных тестовых воздействий и выяапение неисправных компонентов тестируемой схемы. Для достижения данной цели в работе ставятся и решаются следующие задачи.

1. Исследование методов и подходов реализации тестовых мероприятий аналоговых и смешанных интегральных схем.

2. Разработка методики тестирования линейных и квазилинейных аналоговых схем в рамках функционального многочастотного подхода.

3. Разработка методики и алгоритма формирования структуры справочника неисправностей на основе свойств чувствительности для линейных и квазилинейных аналоговых схем.

4. Разработка методики и алгоритма выявления места возникновения

неисправности в исследуемых устройствах на основе использования справочника неисправностей и моделей исправного функционирования компонентов.

5. Разработка структуры и алгоритмов подсистемы проектирования тестовых последовательностей, апробация их в системе математического моделирования МАТЬАВ.

6. Разработка программного обеспечения в виде пакета прикладных программ подсистемы проектирования тестовых последовательностей для линейных аналоговых и смешанных ИМС. Исследование разработанного программного обеспечения.

Методы исследования. Для решения поставленных задач в работе использовались методы теории САПР, схемотехнического анализа, теории чувствительности и математического моделирования.

Научная новизна работы. Новые научные результаты, полученные в работе, состоят в следующем:

1. Разработана и исследована методика формирования компактного набора входных тестовых последовательностей для линейных и квазилинейных аналоговых схем на основе анализа чувствительности, которые обеспечивают максимальное проявление возможных неисправностей на выходных параметрах исследуемых схем.

2. Разработана и исследована методика построения справочника неисправностей, позволяющего осуществлять тестирование аналоговых линейных схем и диагностику в них одиночных неисправностей. Получаемый справочник неисправностей обладает универсальной структурой для выявления широкого класса возможных неисправностей.

3. Разработана и исследована методика локализации неисправностей в линейных и квазилинейных аналоговых схемах. Для выявления места возникновения неисправности достаточно использовать справочник неисправностей, полученный для исследуемого устройства в рамках тестопригодного проектирования, и информацию об исправном функционировании компонентов цепи, полученную на основе выходного контроля.

Практическая ценность. Разработанные методики и алгоритмы могут найти широкое применение при разработке ПО различных САПР. Открытость архитектуры подсистемы тестирования и возможность ее взаимодействия с любыми пакетами схемотехнического моделирования позволяют включать новые, необходимые пользователю модули и реализовывать собственные сценарии тестирования для различных классов устройств. Предлагаемая методика может быть реализована в виде структуры встроенного самотестирова-

ния (BIST), что приведет к снижению сложности проведения тестовых мероприятий. Получаемые в результате работы компактные структуры справочников неисправностей можно размещать в ПЛИС.

Реализация и внедрение результатов работы. Работа по теме диссертации проводилась на кафедре информатики и вычислительной техники (ИВТ) ВлГУ в Центре микроэлектронного проектирования и обучения в рамках х/д НИР №2104/99, №2321/00, №2325/00 и №2408/00. Полученные результаты исследований в виде методик, алгоритмов процесса диагностирования, программного обеспечения подсистемы САПР тестирования внедрены в государственном межотраслевом научно-технологическом центре «НАУКА» г. Москва, а также в учебный процесс кафедры ИВТ Владимирского государственного университета.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на следующих семинарах и конференциях:

- V Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и информатика» (Зеленоград, 1998);

- 5th International Conference «Mixed Design of Integrated Circuits and Systems (MIXDES)» (Lodz, Poland, 1998);

- международная научно-техническая конференция «Конверсия, приборостроение, медицинская техника» (Владимир, 1999);

- международная научная конференция «Современные информационные технологии в образовательном процессе и научных исследованиях» (Шуя, 2000);

- IV Международная научно-техническая конференция «Физика и радиоэлектроника в медицине и экологии (ФРЭМЭ-2000)» (Владимир, 2000);

- 5th ШЕЕ MTT/ED/AP/CPTM Saratov-Penza Chapter Workshop «Computer Aided Design in Applied Electrodynamics and Electronics» (Saratov, 2000);

- IV Международная научно-техническая конференция «Актуальные проблемы электронного приборостроения (АПЭП'2000)» (Саратов, 2000);

- региональная научно-техническая конференция «Интеллектуальные и информационные системы (Интеллект'2000)» (Тула, 2000);

- НТК профессорско-преподавательского состава ВлГУ (1998 - 2000 гг.);

- научно-практические семинары Центра микроэлектронного проектирования и обучения ВлГУ (1998 - 2000 гг).

На защиту выносятся:

I. Методика построения справочника неисправностей и формирования тестового вектора минимальной длины на основе анализа чувствительности.

2. Методика выбора компактного набора тестовых частот, обеспечивающих максимальное проявление возможных в тестируемых схемах неисправностей на выходных параметрах данных устройств.

3. Методика выявления места возникновения одиночной неисправности, использующая статистическую информацию об исправном функционировании элементов исследуемой схемы.

4. Структура, алгоритмы и программные модули подсистемы тестирования аналоговых и смешанных ИМС.

5. Результаты проведения тестовых мероприятий для ряда линейных и квазилинейных аналоговых схем.

Публикации по работе. По теме диссертации опубликовано 15 печатных работ, из них 3 - в материалах европейских конференций, 3 статьи - в сборниках научных трудов и 9 тезисов докладов в сборниках международных и российских научно-технических конференций.

Структура работы. Диссертация состоит из введения, четырех глав, заключения и списка литературы. Основная часть диссертации изложена на 172 страницах машинописного текста. Диссертация содержит 50 рисунков и 15 таблиц. Библиография включает 127 наименований.

СОДЕРЖАНИЕ РАБОТЫ

Во введении обосновывается актуальность работы, формулируется научная новизна и практическая ценность полученных результатов, дается структура диссертации и основные положения, выносимые на защиту.

В первой главе диссертации приведен обзор методов и средств САПР для тестирования аналоговых и смешанных ИМС. Анализируется текущее состояние в области разработки новых методов функционального контроля неисправностей в аналоговых и смешанных ИМС.

Рассматриваются виды неисправностей в интегральных схемах с точки зрения их влияния на функционирование тестируемого устройства. Неисправности классифицируются на грубые (катастрофические) и мягкие (параметрические). Показывается, что влияние параметрических неисправностей на цифровые и аналоговые ИМС неодинаково. Аналоговые ИМС более чувствительны к отклонениям в компонентах в силу непрерывности и большего амплитудного диапазона процессов, протекающих в данных схемах. Делается вывод о целесообразности развития функционального подхода к аналоговому и смешанному тестированию ИМС.

Одним из современных направлений в проектировании интегральных схем является тестопригодное проектирование, которое заключается в разработке тестовых последовательностей одновременно с проектированием самого устройства. В зарубежной литературе этот подход получил название Ое$1£?1-Рог-Те$1аЫигу (ОРТ). Основными преимуществами данного подхода являются экономия времени и ресурсов на тестирование ИМС при производстве, возможность реализации иерархического многоуровневого тестирования систем на основе ИМС, спроектированных в соответствии с методологиями ОРТ. В обзоре приведены существующие методы и средства ОРТ для аналоговых и смешанных интегральных схем. Отличительной особенностью данных разработок является их ориентация на отдельные классы устройств. Показано, что в области аналоговых и смешанных ИМС в настоящее время только начинается развитие методов и средств одновременного проектирования устройств и тестовых последовательностей.

Рассматривается реализация многочастотного подхода к функциональному тестированию и диагностике смешанных ИМС. Показано, что данный подход является одним из высокоэффективных методов выявления неисправностей для квазилинейных аналоговых схем, представителями которых являются фильтрующие и усилительные каскады.

На основе проведенного анализа формулируется направление исследований, заключающееся в развитии функционального многочастотного подхода к тестированию аналоговых квазилинейных ИМС. Ставятся задачи работы.

Вторая глава посвящена разработке математического обеспечения подсистемы тестирования аналоговых и смешанных интегральных схем. Основная идея подхода функционального тестирования заключается в формировании и подаче на вход исследуемого устройства тестовых сигналов с последующей оценкой выходных откликов схемы в контролируемых узлах, по результатам которой принимается решение об исправности устройства.

Для выявления неисправностей при функциональном подходе используются справочники неисправностей (СН) - структуры, включающие уникальные наборы выходных реакций на тестовые воздействия как исправной цепи, так и цепи с моделируемыми в ней дефектами. Основной проблемой построения СН является существенный рост размерности их структуры с увеличением числа покрываемых ими неисправностей.

Предлагается методика построения СН на основе априорно известной информации о функционировании только исправной схемы. Данное обстоятельство позволяет существенно сократить размер справочника, поскольку

отпадает необходимость в хранении откликов неисправной схемы. Кроме того, при формировании справочника сокращаются вычислительные затраты, которые раньше были связаны с получением выходных откликов тестируемой

щаяся в результате, является независимой от возможных в схеме дефектов, при этом она обеспечивает покрытие различных видов неисправностей. В основе предлагаемой методики лежит использование функции чувствительности выходных параметров схемы, а также некоторых ее свойств.

Функция чувствительности используется как количественная оценка влияния некоторого компонента.): на изменение выходной функции схемы Vи определяется выражением

В основе правил построения СН лежат два сформулированных и доказанных в работе Предложения, которые определяют характер зависимости порядка соотношения модулей изменений выходных параметров схемы в ее различных узлах с порядком соотношения абсолютных значений соответствующих коэффициентов чувствительности.

Введем следующие обозначения:

(/ = 1 ...Ь!) - узлы линейной аналоговой схемы;

х - параметр любого компонента аналоговой схемы;

- коэффициент чувствительности выходной характеристики У1 линейной аналоговой схемы в и-м узле, определяемый вариацией параметра компонента х;

АУ(х + Ах) - отклонение выходной характеристики линейной аналоговой схемы в и-м узле, определяемое вариацией параметра компонента х на величину Ах;

X - множество значений отклонения параметра компонента х.

Предложение I.

В линейных аналоговых схемах, на вход которых подается синусоидальный сигнал, знак разности абсолютных значений коэффициентов чувствительности для любой пары узлов и, и и (7,7 = 1 ....V; ; * у) постоянен во всем диапазоне изменения параметра компонента х. Т.е.

схемы для каждого вновь моделируемого дефекта. Структура СН, получаю-

0)

Sign '

) = Sign(].S(

'(.г+Дг) Р(х+Дг)|

, УДх £ X .

Предложение 2.

В линейных аналоговых схемах, на вход которых подается синусоидальный сигнал, разность абсолютных значений отклонения выходной характеристики для любой пары узлов и и и. (/',_/' = 1 < *]) будет сохранять знак разности абсолютных значений коэффициентов чувствительности для соответствующих узлов. Т.е.

°(х+Дг)

(х+Дх)

= Д ^ (х + Дг)| -1Д У} (-V + Дх)|), V Дх 6 X.

В качестве информации, заносимой в СН, используются наборы номеров контролируемых узлов, которые располагаются в порядке возрастания абсолютных значений функции чувствительности соответствующих выходных параметров цепи. Таким образом, для каждого компонента схемы еще на этапе ее проектирования формируется уникальный код, который будем называть тестовой группой (ТГ).

Функции параметров схемы и коэффициенты чувствительности являются характеристиками, зависящими от частоты входного сигнала, поэтому значение частоты будет влиять и на результат получения ТГ. В связи с этим расчет коэффициентов чувствительности, необходимых для формирования ТГ, проводится на всех частотах из рабочего диапазона проектируемого устройства. Совокупность ТГ всех компонентов цепи на одном частотном отсчете образует тестовые множества (ТМН). Из набора ТМН формируется полный СН, который, как правило, обладает избыточной структурой. Это связано с тем, что ТМН на различных частотах рабочего диапазона могут совпадать.

Минимизация полного СН осуществляется одновременно с задачей выбора частот входного тестового воздействия. Требуется выбрать такие частоты из рабочего диапазона, ТМН на которых обладают уникальными для каждого компонента ТГ и на которых отклонение параметров внутренних компонентов тестируемой схемы в наибольшей степени влияет на ее выходную характеристику.

При выборе частот последовательно используются следующие характеристики и критерии для них:

1) Абсолютная величина функции чувствительности 8 . Критерий - максимум величины 5:

5тах=тах(^|),;= 1...М. (2)

Выполнение данного критерия позволяет обозначить частоты, на кото-

рых проявление дефекта происходит максимальным образом, а также исключить ситуацию, когда 5 = 0;

2) Величина разброса минимального и максимального абсолютных значений функции чувствительности в тестовых узлах • Критерий - максимум значения Д5:

А5тах = тах(тах(

(3)

3) Равномерность распределения модулей функции чувствительности в тестовых узлах схемы в диапазоне минимального и максимального значений Крр. Критерий - максимум коэффициента Крр:

1

КрРтхх = '

А/

I

к-1

<Ю„

Л-1

р.Г

где

= тах|

С Ук ¿X

(4)

-5

х

|, / = 2..М, М - число контролируемых узлов. Последние два критерия позволяют исключить из рассмотрения частоты, на которых абсолютные значения функции чувствительности в различных тестовых узлах равны, что обеспечивает получение устойчивых тестовых шаблонов в ходе верификации выходных откликов тестируемой схемы.

Набор частот Р, на которых для всех компонентов все три характеристики имеют значения из допустимых диапазонов, используется при минимизации полного СН. Получение результирующего СН сводится к поиску покрытий множества тестовых групп набором тестовых множеств на частотах Р. Результирующий СН образует покрытие, включающее минимальное число ТМН. Частоты из Р, тестовые множества на которых вошли в СН, формируют тестовый вектор (ТВ).

Выявление дефектов при анализе выходных откликов схемы осуществляется с использованием построенного СН и статистических моделей исправных компонентов. На практике выходная функция V зависит от нескольких параметров. В этом случае приращение функции V, вызванное вариацией всех параметров компонентов линейной аналоговой схемы, определяется выражением

N N

/=1 ' 1=1

(5)

где Ах - величина отклонения параметра х1 от номинального значения,

N - число компонентов.

Величины Дх отклонений параметров внутренних компонентов в случае интегральных микросхем описываются нормальным законом распределения. Использование данных о математическом ожидании и дисперсии значений отклонений для конкретной технологической линии и технологического процесса, полученных на основе выходного контроля партии микросхем, позволяет с высокой степенью вероятности моделировать работу исправных компонентов.

При подаче на вход тестируемого устройства синусоидальных воздействий с частотами из ТВ, в контролируемых узлах схемы снимаются значения отклонений выходных функций от их номинальных величин. По данным значениям невозможно однозначно определить, какой вклад в них привносят отклонения параметров каждого компонента рассматриваемой схемы. Однако применение моделей исправных компонентов позволяет выполнить расчет абсолютных значений частных приращений ЬУ3 выходных функций напряжения в тестовых узлах проверяемой схемы, которые определяются отклонением параметра х-го компонента цепи в предположении, что именно он является неисправным:

По выражению (6) происходит расчет | ДУ3| для всех компонентов схемы на каждой частоте из ТВ. На основе этих данных последовательно для каждого элемента цепи по всем частотным отсчетам из ТВ осуществляется построение тестовых шаблонов (ТШ) - структур, содержащих последовательности номеров тестовых узлов. Эти номера располагаются в порядке возрастания соответствующих им величин \АУ31.

Выявление неисправного компонента заключается в сравнении полученных ТШ с ТМН из СН. Совпадение ТГ с ТШ для некоторого компонента свидетельствует о его неисправности.

В третьей главе приведена структура программного обеспечения подсистемы САПР тестирования аналоговых и смешанных ИМС TestWare. Приведены основные алгоритмы и описаны программные модули.

Подсистема TestWare создана таким образом, что она может функционировать в двух режимах: автономном и комплексном. В первом случае подсистема выполняет поставленные перед ней задачи, используя лишь исходное описание тестируемого устройства в Spice формате и проводя все необхо-

(6)

- и -

димые расчеты самостоятельно. Комплексный режим предусматривает взаимодействие TestWare с любым пакетом схемотехнического моделирования. При этом в процессе поиска тестовых решений подсистема будет использовать результаты расчетов, выполненных внешним пакетом. Подсистема TestWare предъявляет следующие требования к программному и аппаратному обеспечению: персональный компьютер с процессором не ниже Pentium-II; объем оперативной памяти (ОЗУ) не менее 64 Мбайт; объем свободного дискового пространства минимум 100 Мбайт (данная характеристика зависит от сложности рассматриваемого устройства); использование операционной системы (ОС) Windows 95,98,2000; установленный пакет прикладных программ (111 111) MATLAB v5.x.

Разработанный программный комплекс представляет собой подсистему САПР, реализованную по модульному принципу на основе открытой архитектуры, что позволяет осуществлять ее наращивание. Структура комплекса представлена на рисунке. Выбор данной концепции при создании подсистемы был сделан, исходя из критерия универсальности и легкости модификации и дополнения комплекса каждым конечным пользователем при решении своих задач. Интеграция программных модулей осуществляется посредством главной вызываемой подпрограммы, называемой программным менеджером. В его функции входит организация диалога между пользователем и подсистемой САПР, управление потоками задач и данных, т.е. своевременный запуск требуемых приложений и обеспечение обмена данными.

Разработанные алгоритмы реализуют предложенные во второй главе диссертации методики формирования СН, выбора частот входного тестового сигнала и методики выявления неисправных компонентов.

Четвертая глава посвящена исследованию подсистемы функционального многочастотного тестирования на ряде линейных и квазилинейных аналоговых схем (в том числе на соответствующих подсхемах смешанных ИМС), отличающихся сложностью, особенностями функционирования и реализации.

В начале приведены результаты исследования процесса тестовых мероприятий на примере режекгорного фильтра для отладки и сравнения точности тестовых решений, получаемых численно и аналитически.

Далее в плаве приводятся результаты построения справочников неисправностей и выбора частот входных тестовых воздействий, при этом TestWare позволяет осуществлять поиск решений на интервале до 100 тысяч частотных отсчетов. Были рассмотрены устройства различной степени сложности. Предлагаемые методики обеспечивают возможность проведения тестовых мероприятий для линейных и квазилинейных аналоговых схем, которые мо-

Структура подсистемы САПР тестирования ТенИ'аге

гут содержать до 10 тысяч компонентов. Реально сложность рассматриваемых устройств зависит от функциональных возможностей пакетов схемотехнического моделирования, из которых импортируются значения коэффициентов чувствительности и частотные характеристики проектируемых схем. Так, при взаимодействии с ПСП-ПК TestWare позволяет формировать СН и ТВ для цепей, содержащих до 200 узлов и 500 элементов. Предлагаемые методики определяют дефектонезависимый характер формируемых СН. Приведены зависимости, определяющие линейную связь между размерностью СН и числом внутренних элементов тестируемых схем. На основе результатов проведенных экспериментов установлена зависимость объема покрытия возможных в схеме неисправностей от числа частот входных воздействий, используемых в ходе конкретного тестирования.

Проведение тестовых мероприятий осуществлялось в рамках иерархического подхода, когда тестирование компонентов, составляющих схему, выполняется на различных иерархических уровнях. Данный подход подразумевает последовательное тестирование всех элементов на каждом уровне детализации устройства. Показана эффективность использования предложенного иерархического подхода по сравнению со стандартным процессом проведения тестовых мероприятий. Так, если использование стандартного процесса обеспечивает покрытие порядка 85 - 90 % одиночных неисправностей, то привлечение иерархического подхода позволяет повысить покрываемость до 96 %, при этом оставшиеся 4 % приходятся на ситуацию двойственных групп, когда устанавливается факт возникновения дефекта, но его диагностика проходит с точностью до набора компонентов, образующих двойственную группу. Приведенные результаты получены при исследовании неисправностей, которые вызваны отклонениями параметров компонентов на величины от 10 до 25 %, а для отдельных элементов до 75 %. Установлено, что рассмотрение тестируемого устройства на различных уровнях детализации позволяет управлять сложностью и качеством диагностики иерархических линейных и квазилинейных аналоговых схем, что сказывается на таких показателях, как объем СН, длина ТВ, а также время, необходимое для построения СН и локализации неисправностей.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

1. Проведено исследование состояния в области тестирования и диагностики ИМС. Проведена классификация методов, средств и подходов тестирования аналоговых и смешанных ИМС .Исследовано состояние современного рынка в области САПР тестирования. Показано, что на сегодняшний день функциональный подход является одним из самых эффективных реше-

ний для проведения тестовых мероприятий для смешанных ИМС. Отсутствие САПР аналогового тестирования ставит актуальную задачу разработки систем автоматизированного проектирования тестовых последовательностей. В силу постоянного развития интегральной схемотехники методы тестирования также претерпевают изменения, поэтому создаваемые САПР тестирования должны обладал, гибкостью и открытостью для включения новых средств и подходов для решения задачи формирования тестовых последовательностей.

2. Разработана методика проведения тестовых мероприятий в рамках функционального многочастотного подхода. Решена задача расчета тестовых частот синусоидального входного сигнала на основе анализа чувствительности параметров схемы к изменению параметров компонентов. Данный подход позволяет находить точные значения частот, образующих тестовый вектор, при которых выходные параметры схемы наиболее чувствительны к возникновению неисправностей, в отличие от.существующих методик, оценивающих частотные диапазоны максимального проявления неисправностей в схеме.

3. Разработана методика построения справочника неисправностей на основе анализа чувствительности. Были сформулированы два Предложения, определяющие зависимость между абсолютными значениями коэффициентов чувствительности выходной характеристики исследуемого устройства к отклонению параметров его компонентов и модулем отклонений от номинального значения выходной функции, вызванных вариациями параметров внутренних элементов. В основе работы СН лежит построение для каждого компонента схемы ТГ, которые представляют собой уникальную для каждого элемента кодовую последовательность. В соответствии со сформулированными и доказанными Предложениями в случае присутствия в проверяемом устройстве неисправности в ходе тестового мероприятия на основе выходных откликов будет получен тестовый шаблон, имеющий ту же структуру, что и тестовые группы, и совпадающий с ТГ неисправного компонента из СН. Отличительной особенностью данной методики является построение справочника неисправностей минимальной длины, обеспечивающего не только тестирование линейных аналоговых схем, но и диагностику в них одиночных неисправностей.

4. Разработана методика выявления места возникновения неисправности в исследуемой схеме. Формирование тестовых шаблонов осуществляется с использованием моделей исправных компонентов. Данное обстоятельство позволяет учитывать при проведении тестовых мероприятий особенности

технологического процесса и технологических линий различных производителей аналоговых и смешанных ИМС. Тестовые шаблоны, построенные на основе выходных реакций тестируемой схемы при воздействии на ее вход синусоидального сигнала с частотами из тестового вектора, позволяют однозначно локализовать место возникновения одиночной неисправности.

5. Предложена структура программного комплекса подсистемы САПР тестирования, реализующего подход к многочастотному функциональному тестированию, формированию справочника неисправностей и построению входных тестовых воздействий. Показана эффективность открытой архитектуры реализованного комплекса, состоящего из совокупности модулей на языке системы математических расчетов MATLAB.

6. Предложены и реализованы алгоритмы формирования многочастотных тестовых последовательностей. Реализованы подпрограммы обработки данных, необходимые для обмена информацией между пакетами схемотехнического проектирования и подсистемой тестирования при ее работе в комплексном режиме.

7. Проведено исследование процесса тестовых мероприятий для ряда аналоговых схем, полностью соответствующих классам устройств, для которых предлагаемая подсистема САПР формирует тестовые последовательности. Исследованы пути повышения эффективности проведения тестовых мероприятий, один из которых связан с использованием иерархического подхода при тестировании сложных устройств.

ПУБЛИКАЦИИ ПО РАБОТЕ

1. Мосин С.Г., Трофимов М.А. Исследование и сравнение методов моделирования нелинейных аналоговых схем // Микроэлектроника и информатика: Тез. докл. межвуз. НТК. 41. - М.: МИЭТ, 1997. - С. 37.

2. ЛанцовВ.Н., Рудаков О.В., Мосин С.Г. Тестирование аналоговых схем с использованием расчета чувствительности // Микроэлектроника и информатика: Тез. докл. V Всеросс. межвуз. НТК. 41. - М.: МИЭТ, 1998. -С. 67.

3. Ланцов В.Н., Рудаков О.В., Мосин С.Г. Метод многочастотного функционального тестирования линейных аналоговых интегральных схем // Обработка и анализ данных / Под ред. С.С. Садыкова, P.C. Садуллаева. - Ташкент: НПО «Кибернетика» АН РУз, 1998. - С. 211 - 220.

4. Мосин С.Г., Рудаков О.В., Лобачев Г.А. Подсистема тестирования аналоговых и смешанных интегральных схем // Конверсия, приборостроение,

медицинская техника: Материалы междунар. науч.-техн. конф. - Владимир: ВлГУ, 1999.-С. 133 - 135.

5. Мосин С.Г., Морозов М.А. Подсистема получения тестовых воздействий для линейных аналоговых схем // Современные информ. технологии в образовательном процессе и науч. исслед.: Сб. ст. конф. - Шуя: «Весть», 2000. -С. 34-35.

6. Lantsov V., RudakovO., Mosin S. Multifrequency Testing and Diagnosis of Analog and Mixed-Signal Circuits Using Neuromorphic Classifier. Proc. of 6th Biennial Conf. on Electronics and Microsystems Technology // Baltic Electronics Conf. - Tallinn: Tallinn Techn. Univ., 1998. - P. 347 - 349.

7. Lantsov V.N., Rudakov О. V., Mosin S.G. Signature Analyzer and Classifier for Analog Circuits Diagnosis Based on Neural Network // Proc. of 5"1 Int. Conf. Mixed Design of Integrated Circuits and Systems. - Poland: Lodz, 1998. - P. 345 -348.

8. Мосин С.Г., Ланцов В.Н. Методика мультичастотной функциональ- • ной диагностики аналоговых и смешанных интегральных микросхем // Компьютерные технологии обработки и анализа данных / Под ред. С.С. Садыко-ва, Р.С. Садуллаева. - Ташкент: НПО «Кибернетика» АН РУз, 2000. - С. 160 -168.

9. Мосин С.Г. Тестопригодное проектирование аналоговых и смешанных интегральных схем // Современные информационные технологии (Contemporary information technologies). Информационно-измерительные и диагностические системы: Тр. междунар. науч.-техн. конф. - Пенза: Пензенский технологический ин-т, 2000. - С. 61 - 63.

10. Мосин С.Г., Ланцов В Н. Подсистема функциональной диагностики линейных аналоговых схем // Актуальные проблемы электронного приборостроения: Материалы междунар. науч.-техн. конф. - Саратов: Саратовский гос. техн. ун-т, 2000. - С. 299 - 302.

11. С.Г. Мосин, М.А. Морозов. Диагностика линейных аналоговых схем на основе расчета чувствительности // Физика и радиоэлектроника в медицине и экологии: Тр. 4-й Междунар. науч.-техн. конф. - Владимир: Изд-во ин-та оценки природных ресурсов, 2000. - Ч. 2. - С. 228 - 231.

12. Lantsov V., Mosin S., Morozov M. Analog linear circuits diagnosis using fault dictionary approach. Proc. of 7th Biennial Conference on Electronics and Microsystems Technology // Baltic Electronics Conf. - Tallinn: Tallinn Techn. Univ., 2000.-P. 307 - 310.

13. Мосин С.Г. Диагностика неисправностей в аналоговых ИС // Теория, методы и средства измерений, контроля и диагностики: Тр. междунар.

науч.-практ. конф. - Новочеркасск: Южно-Российский гос. техн. ун-т, 2000. -С. 7-8.

14. Мосин С.Г. Подсистема САПР автоматического формирования входных тестовых воздействий в рамках подхода тестопригодного проектирования // Интеллектуальные и информационные системы. Интеллекг-2000: Материалы региональной науч.-техн. конф. - Тула: Тульский гос. ун-т, 2000. -С. 67 - 70.

15. Мосин С.Г., Ланцов В.Н., Морозов М.А. Методика функциональной диагностики линейных аналоговых схем // Электроника, информатика и управление: Со. науч. тр. Вып. 2. - Владимир: ВлГУ, 2000. - С. 28 - 32.

Л Р №020275 от 13.11.96 Подписано в печать 13.11.2000. Формат 60x84/16. Бумага для множит, техники. Гарнитура Times. Печать офсетная. Усл. печ. л. 0,93. Уч.-изд. л. 1,05. Тираж 100 экз.

Заказ W2-WP-Владимирский государственный университет. Подразделение оперативной полиграфии Владимирского государственного университета. Адрес универс!гтета и подразделения оперативной полиграфии: 600000. Владимир, ул. Горького, 87.

Оглавление автор диссертации — кандидата технических наук Мосин, Сергей Геннадьевич

СПИСОК ПРИНЯТЫХ СОКРАЩЕНИЙ.

ВВЕДЕНИЕ.

ГЛАВА 1. СОСТОЯНИЕ В ОБЛАСТ И МЕТОДОВ И СРЕДСТВ САПР ТЕСТИРОВАНИЯ АНАЛОГОВЫХ И СМЕШАННЫХ ИМС. ПОСТАНОВКА ЗАДАЧ ИССЛЕДОВАНИЙ.

1.1. Состояние в области тестирования аналоговых и смешанных ИМС.

1.2. Состояние в области САПР тестирования аналоговых и смешанных ИМС.

1.3. Особенности проведения тестовых мероприятий.

1.4. Цель и постановка задач исследований.

1.5. Выводы.

ГЛАВА 2. РАЗРАБОТКА МАТЕМАТИЧЕСКОГО ОБЕСПЕЧЕНИЯ

ПОДСИСТЕМЫ ТЕСТИРОВАНИЯ АНАЛОГОВЫХ И СМЕШАННЫХ ИМС.}.

2.1. Методика построения справочника неисправностей на основе анализа чувствительности.

2.2. Отбор частот входного тестового сигнала и минимизации справочника неисправностей.

2.3. Методика выявления в тестируемой схеме неисправного компонента.

2.4. Выводы.

ГЛАВА 3. РАЗРАБОТКА СТРУКТУРЫ ПРОГРАММНОГО

ОБЕСПЕЧЕНИЯ ПОДСИСТЕМЫ ТЕСТИРОВАНИЯ.

3.1. Разработка программного обеспечения подсистемы тестирования.

3.2. Алгоритм формирования справочника неисправностей и выбора частот входного тестового сигнала.

3.3. Алгоритм выявления в тестируемой схеме неисправного компонента.

3.4. Выводы.

ГЛАВА 4. ИССЛЕДОВАНИЕ ПОДСИСТЕМЫ МНОГОЧАСТОТНОГО

ФУНКЦИОНАЛЬНОГО ТЕСТИРОВАНИЯ.

4.1. Выполнение тестовых мероприятий на примере пассивного режекторного ^С-фильтра.

4.2. Построение справочника неисправностей и тестового вектора на примере полосового фильтра.

4.3. Процесс выявление неисправных компонентов на примере схемы банка фильтров.

4.4. Выводы.'.

Введение 2000 год, диссертация по информатике, вычислительной технике и управлению, Мосин, Сергей Геннадьевич

В настоящее время электронная промышленность является наиболее динамично развивающейся отраслью. Во многом этому успеху способствует развитие интегральной технологии и решение многих проблем производственных процессов, а также использование мощных средств автоматизированного проектирования (САПР) микроэлектронной аппаратуры на этапе разработки проектных решений. Наибольший вклад в показатель роста микроэлектроники вносят проектирование и производство интегральных микросхем (ИМС). При этом наметилась устойчивая тенденция к переходу от производства схем, реализующих стандартную логику, к заказным и полузаказным специализированным ИМС (ASIC). Появление новых интегральных технологий стало определяющим фактором при разработке эффективной микроэлектронной аппаратуры (МЭА) для широкого спектра приложений в различных отраслях промышленности.

Одним из интенсивно развивающихся направлений в ASIC технологии является проектирование и производство смешанных интегральных схем (MSIC), объединяющих на одном кристалле аналоговую и цифровую подсхемы. Динамика роста производства данного типа микросхем составляет порядка 35% в год. Увеличение потребности в устройствах такого типа объясняется активным использованием механизмов цифровой обработки сигналов (ЦОС) во многих современных приложениях, таких как обработка аудио и видео данных, компьютерные и сетевые технологии, телекоммуникация, транспортная электроника и авионика, биомедицинские приборы и др. Применение MSIC обусловлено необходимостью одновременной обработки аналоговых и цифровых сигналов в режиме реального времени для получения результатов высокого качества. При этом, используя смешанные схемы, удается избежать искажения сигналов на линиях соединения аналоговых и цифровых подсхем особенно при расширении частотных диапазонов работы электронных устройств.

Увеличение потребности в смешанных интегральных схемах привело к необходимости развития методов и средств проектирования устройств данного класса. Современный рынок программного обеспечения (ПО) предлагает множество систем автоматизированного проектирования (САПР), как правило зарубежных, обеспечивающих сквозной цикл проектирования смешанных ИМС. Наиболее трудоемким и дорогостоящим этапом при реализации ИМС является тестирование, которое позволяет обеспечить высокие требования по надежности и качеству функционирования проектируемых схем, установить соответствие выходных характеристик их спецификациям. Изменения в технологическом процессе, растущая степень интеграции, рост функциональной сложности разрабатываемых устройств, отсутствие доступа к внутренним компонентам схемы - эти и многие другие причины определяют необходимость развития № поиска новых методов, подходов и средств тестирования ИМС на всех этапах жизненного цикла. Наиболее перспективным направлением здесь является поиск путей автоматизации процесса формирования тестовых мероприятий, разработка и развитие современных, мощных систем автоматизированного проектирования тестов (ТСАПР).

На тестовые мероприятия ИМС приходится порядка 40-60 процентов от общего времени, требуемого на проектирование и реализацию устройства. Это во многом связано с тем, что тестирование или верификация проводятся на каждом этапе процесса производства ИМС, включая проверку кремниевых пластин, кристаллов и корпусированных устройств. При этом, согласно «правилу десяти», стоимость обнаружения неисправного компонента в партии увеличивается десятикратно на каждом последующем уровне производственного цикла. Наиболее актуальным и широко исследуемым направлением современного автоматизированного проектирования ИМС является получение и разработка методик, позволяющих еще в процессе проектирования устройства формировать для него сценарий тестовых мероприятий (ОРТ). Реализация данного подхода предусматривает использование внутри проекта тестирующих подсхем или, основываясь на результатах схемотехнического анализа, осуществление специального преобразования исходной схемы с целью повышения контролируемости ее параметров. Все современные средства САПР основываются на методологии ОРТ, поскольку привлечение подхода тестопригодного проектирования на ранних этапах разработки устройств позволяет использовать в полном объеме функциональные особенности ИМС для формирования для них тестовых решений, что крайне важно при разработке высоконадежных аналоговых и смешанных интегральных схем.

Аналоговые схемы с функциональной точки зрения являются более чувствительными к возникновению в них неисправности, чем цифровые. Во многом это объясняется влиянием дефекта на внутренние параметры схемы. И если для цифровых ИМС небольшие отклонения часто не влияют на выходные характеристики в силу ограниченного числа уровней сигнала, то для аналоговых схем малые отклонения могут привести к существенным изменениям выходных параметров. На сегодняшний день широко используемым и хорошо себя зарекомендовавшим способом тестирования МБ1С являются методы функционального тестирования. При реализации подходов данного метода решаются следующие задачи:

- выбор типа тестовых воздействий;

- выбор контролируемых параметров и узлов;

- формирование компактных наборов тестовых воздействий;

- верификация выходных откликов схемы на выбранные тестовые последовательности;

- анализ результатов и принятие решения об исправности или неисправности тестируемого устройства.

Решение перечисленных задач для ИМС сложно получить без использования специальных автоматизированных средств. Современный рынок САПР предлагает широкий спектр ПО для автоматического формирования тестовых воздействий для цифровых ИМС (АТРО). В области программного обеспечения тестирования (ТСАПР) для аналоговых и смешанных ИМС наблюдается недостаток средств разработки тестов. Это объясняется во многом отсутствием универсальных методик тестирования аналоговых схем, а также сложностью сопряжения методов тестирования цифровых подсхем с существующими методами тестирования аналоговых подсхем смешанных ИМС. Актуальной задачей в связи с этим является создание подсистем САПР анализа и синтеза тестов, сопрягаемых со стандартами САПР схемотехнического анализа аналоговых и смешанных ИМС.

Целью работы является исследование и развитие методов тестирования аналоговых и смешанных интегральных схем и разработка на их основе математического и программного обеспечений подсистемы, осуществляющей формирование компактных многочастотных тестовых воздействий и выявление неисправных компонентов тестируемой схемы. Для достижения данной цели в работе ставятся и решаются следующие задачи:

1. Исследование методов и подходов реализации тестовых мероприятий аналоговых и смешанных интегральных схем.

2. Разработка методики тестирования линейных аналоговых схем в рамках функционального многочастотного подхода.

3. Разработка методики и алгоритма формирования структуры справочника неисправностей на основе свойств чувствительности.

4. Разработка методики и алгоритма выявления места возникновения неисправности в исследуемых устройствах на основе использования справочника неисправностей и статистических моделей исправных компонентов.

5. Разработка структуры и алгоритмов подсистемы проектирования тестовых последовательностей, апробация их в системе математического моделирования МАТЬАВ.

6. Разработка программного обеспечения в виде пакета прикладных программ подсистемы проектирования тестовых последовательностей для аналоговых и смешанных ИМС. Исследование разработанного программного обе спечения.

Научная новизна работы. Новые научные результаты, полученные в работе, состоят в следующем:

1. Разработана и исследована методика формирования компактного набора входных тестовых последовательностей для линейных и квазилинейных аналоговых схем на основе анализа чувствительности, которые обеспечивают максимальное проявление на выходных параметрах исследуемых схем возможных в них неисправностей .

2. Разработана и исследована методика построения,справочника неисправностей, позволяющего осуществлять тестирование аналоговых линейных схем и диагностику в них одиночных неисправностей. Получаемый справочник неисправностей обладает универсальной структурой для выявления широкого класса возможных неисправностей.

3. Разработана и исследована методика локализации неисправностей в линейных и квазилинейных аналоговых схемах. Для выявления места возникновения неисправности достаточно использовать справочник неисправностей, полученный для исследуемого устройства в рамках тестопригодного проектирования, и статистическую информацию об исправном функционировании компонентов цепи, полученную на основе выходного контроля.

Практическая ценность. Разработанные методики и алгоритмы могут найти широкое применение при разработке ПО различных САПР. Открытость архитектуры подсистемы тестирования и возможность ее взаимодействия с любыми пакетами схемотехнического моделирования позволяют включать новые, необходимые пользователю модули и реализовывать собственные сценарии тестирования для различных классов устройств. Предлагаемая методика может быть реализована в виде структуры встроенного самотестирования {BIST), сокращая при этом сложность проведения тестовых мероприятий. Получаемые в результате работы компактные структуры справочников неисправностей можно размещать в ПЛИС.

Реализация и внедрение результатов работы.

Работа по теме диссертации проводилась на кафедре ИВТ ВлГУ в Центре микроэлектронного проектирования и обучения в рамках х/д НИР №2104/ 99, №2321/00, №2325/00 и №2408/00. Полученные результаты исследований в виде методик, алгоритмов процесса диагностирования, программного обеспечения подсистемы САПР тестирования внедрены в Государственном межотраслевом научно-технологическом центре ГУП ГМНТЦ «НАУКА» г.Москва, а также в учебный процесс кафедры «Информатики и вычислительной техники» Владимирского государственного университета.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на следующих семинарах и конференциях:

- V Всероссийская межвузовская научно-техническая конференция студентов и аспирантов «Микроэлектроника и информатика» (Зеленоград, 1998);

- 5th International Conference «Mixed Design.of Integrated Circuits and Systems (MIXDES)» (Lodz, Poland, 1998);

- Международная научно-техническая конференция «Конверсия, приборостроение, медицинская техника» (Владимир, 1999);

- Международная научная конференция «Современные информационные технологии в образовательном процессе и научных исследованиях» (Шуя, 2000);

- IV Международная научно-техническая конференция «Физика и радиоэлектроника в медицине и экологии (ФРЭМЭ-2000)» (Владимир, 2000);

- 5th IEEE MTT/ED/AP/CPTM Saratov-Penza Chapter Workshop «Computer Aided Design in Applied Electrodynamics and Electronics» (Saratov, 2000);

- IV Международная научно-техническая конференция «Актуальные проблемы электронного приборостроения (АПЭП'2000)» (Саратов, 2000);

- Региональная научно-техническая конференция «Интеллектуальные и информационные системы (Интеллект'2000)» (Тула, 2000);

- НТК профессорско-преподавательского состава ВлГУ (1998 - 2000 годы);

- Научно-практические семинары Центра микроэлектронного проектирования и обучения ВлГУ (1998 - 2000 годы).

На защиту выносятся:

1. Методика построения справочника неисправностей и формирования тестового вектора минимальной длины на основе анализа чувствительности.

2. Методика выбора компактного набора тестовых частот, обеспечивающих максимальное проявление возможных в тестируемых схемах неисправностей на выходных параметрах данных устройств.

3. Методика выявления места возникновения одиночной неисправности, использующая статистическую информацию об исправном функционировании элементов исследуемой схемы.

4. Структура, алгоритмы и программные модули подсистемы тестирования аналоговых и смешанных ЙМС.

5. Результаты проведения тестовых мероприятий для ряда линейных и квазилинейных аналоговых схем.

Публикации по работе. По теме диссертации опубликовано 15 печатных работ, из них 3 - в материалах Европейских конференций, 3 статьи в сборниках научных трудов и 9 тезисов докладов на Международных и Российских научно-технических конференциях.

Структура работы. Диссертация состоит из введения, четырех глав и заключения. Основная часть диссертации изложена на 172 страницах машинописного текста. Диссертация содержит 50 рисунков и 15 таблиц. Библиография включает 127 наименований.

Заключение диссертация на тему "Развитие математического и программного обеспечения подсистемы тестирования для САПР аналогых и смешанных интегральных схем"

4.4. Выводы

1. Проведено исследование методики построения справочника неисправностей и подхода выявления дефектов в рамках метода многочастотного тестирования на примере режекгорного фильтра. Решения, полученные аналитическим путем, были подтверждены результатами численного эксперимента, что позволяет делать вывод о практической работоспособности предлагаемых методик. В ходе проведения тестового мероприятия был получен СН, позволяющий обнаруживать место возникновения в схеме одиночных неисправностей. Проведен расчет тестовых воздействий. Получена компактная тестовая последовательность частот входного синусоидального воздействия.

- 1552. Исследование предложенных методик на примерах реальных, используемых на практике, схем полосового фильтра и банка фильтров позволяет сделать вывод о работоспособности реализованных алгоритмов, а также о достаточной полноте функциональных возможностей подсистемы САПР TestWare, необходимых для проведения тестовых мероприятий для практических сложных линейных аналоговых схем.

3. Исследована эффективность выполнения диагностики неисправностей с привлечением иерархического подхода. Установлено, что рассмотрение тестируемого устройства на различных уровнях детализации позволяет управлять сложностью и качеством диагностики, что сказывается на таких показателях, как объем справочника неисправностей, длина тестового вектора, а также время, необходимое для построения СИ и локализации неисправностей. Привлечение иерархического подхода позволяет обеспечивать покрываемость порядка 96% возможных в тестируемых линейных аналоговых схемах неисправностей. Исследования проводились на одиночных неисправностях, которые вызваны отклонениями параметров компонентов на величины от 10 до 25% (параметрические дефекты), а для отдельных элементов до 75% (катастрофические дефекты).

4. Исследован комплекс программ подсистемы САПР тестирования TestWare. Уточнены и доработаны подпрограммы связи пакетов схемотехнического моделирования и подсистемы тестирования, необходимые при работе в комплексном режиме.

ЗАКЛЮЧЕНИЕ

Проведенное исследование в области методов и средств тестирования и диагностики интегральных схем показало необходимость развития математического и программного обеспечения подсистем САПР функционального тестирования аналоговых и смешанных ИМС. Рост сложности устройств и требований к надежности систем, построенных на основе интегральных технологий, приводит к необходимости разработки и реализации САПР, обеспечивающих одновременное проектирование самого устройства и тестов для проверки его работоспособности (/ЖГ). Требования рынка также заставляют разработчиков современных средств тестирования искать подходы для реализации комплексного тестирования на всем жизненном цикле ИМС.

Смешанные ИМС - это особый класс интегральных микросхем, сочетающих в себе аналоговую и цифровую подсхемы. В области цифрового тестирования в настоящее время имеется множество методов и средств, позволяющих обнаруживать все виды функциональных и структурных неисправностей. В области аналогового тестирования наблюдается отсутствие методов, сочетающих в себе эффективность функционального контроля и экономичность методов встроенного самотестирования. Одной из основных функций аналоговой подсхемы в смешанных ИМС является фильтрация и усиление входных сигналов для их последующей цифровой обработки. Основными задачами, решенными в работе, явились: совершенствование подхода к функциональному тестированию и диагностике в частотной области и разработка подсистемы САПР для реализации предложенного подхода.

В диссертационной работе были получены следующие основные результаты:

1. Проведено исследование состояния в области тестирования и диагностики ИМС. Проведена классификация методов, средств и подходов тестирования аналоговых и смешанных ИМС. Исследовано состояние современного рынка в области САПР тестирования. Показано, что на сегодняшний день функциональный подход является одним из самых эффективных решений для проведения тестовых мероприятий для смешанных ИМС. Отсутствие САПР аналогового тестирования ставит актуальную задачу разработки систем автоматизированного проектирования тестовых последовательностей. В силу постоянного развития интегральной схемотехники методы тестирования также претерпевают изменения, поэтому создаваемые САПР тестирования должны обладать гибкостью и открытостью для включения новых средств и подходов для решения задачи формирования тестовых последовательностей.

2. Разработана методика проведения тестовых мероприятий в рамках функционального многочастотного подхода. Решена задача расчета тестовых частот синусоидального входного сигнала на основе анализа чувствительности параметров схемы к изменению параметров компонентов. Данный подход позволяет находить точные значения частот, образующих тестовый вектор, при которых выходные параметры схемы наиболее чувствительны к возникновению неисправностей, в отличие от существующих методик, оценивающих частотные диапазоны максимального проявления неисправностей в схеме.

3. Разработана методика построения справочника неисправностей на основе анализа чувствительности. Были сформулированы два Предложения, определяющие зависимость между абсолютными значениями коэффициентов чувствительности выходной характеристики исследуемого устройства к отклонению параметров его компонентов и модулем отклонений от номинального значения выходной функции, вызванных вариациями параметров внутренних элементов. Данные Предложения определяют правила построения СН и процедуру отбора частот тестовых воздействий. В основе работы справочника неисправностей лежит построение для каждого компонента схемы тестовых групп, которые представляют собой уникальную для каждого элемента кодовую последовательность. В соответствии со сформулированными и доказанными Предложениями в случае присутствия в проверяемом устройстве неисправности в ходе тестового мероприятия на основе выходных откликов будет получен тестовый шаблон, имеющий ту же структуру, что и тестовые группы, и совпадающий с ТГ неисправного компонента из СН. Отличительной особенностью данной методики является использование при построении справочника неисправностей минимальной длины информации о функционировании лишь исправной схемы. Результирующий СН обеспечивает не только тестирование линейных аналоговых схем, но и диагностику в них одиночных неисправностей.

4. Разработана методика выявления места возникновения неисправности в исследуемой схеме. Формирование тестовых шаблонов осуществляется с использованием статистических моделей исправных компонентов. Данное обстоятельство позволяет учитывать при проведении тестовых мероприятий особенности технологического процесса и технологических линий различных производителей аналоговых и смешанных ИМС. Тестовые шаблоны, построенные на основе выходных реакций тестируемой схемы при воздействии на ее вход синусоидального сигнала с частотами из тестового вектора, позволяют однозначно локализовать место возникновения одиночной неисправности.

5. Предложена структура программного комплекса подсистемы САПР тестирования, реализующего подход к многочастотному функциональному тестированию, формированию справочника неисправностей и построению входных тестовых воздействий. Показана эффективность открытой архитектуры реализованного комплекса, состоящего из совокупности модулей на языке системы математических расчетов МАТЬАВ.

6. Предложены и реализованы алгоритмы формирования многочастотных тестовых последовательностей. Реализованы подпрограммы обработки данных, необходимые для обмена информацией между пакетами схемотехни

- 159ческого проектирования и подсистемой тестирования при ее работе в комплексном режиме.

7. Проведено исследование предложенных методик и программного обеспечения на ряде практических аналоговых схем, полностью соответствующих классам устройств, для которых предлагаемая подсистема САПР формирует тестовые последовательности. Приведены результаты построения справочников неисправностей, выбора частот входных тестовых воздействий и процесса выявления места возникновения неисправностей, которые определяются отклонениями параметров компонентов на величины от 10 до 25%, а для отдельных элементов до 75%. Формируемые согласно предложенной методике справочники неисправностей позволяют покрывать порядка 96% одиночных неисправностей, при этом оставшиеся 4% приходятся на ситуацию двойственных групп, когда устанвливается факт возникновения дефекта, но его диагностика проходит с точностью до набора компонентов, образующих двойственную группу. Исследованы пути повышения эффективности проведения тестовых мероприятий, один из которых связан с использованием иерархического подхода при тестировании сложных устройств.

Библиография Мосин, Сергей Геннадьевич, диссертация по теме Системы автоматизации проектирования (по отраслям)

1. Автоматизация схемотехнического проектирования: Учеб. пособие для вузов/В.Н. Ильин, В.Т. Фролкин, А.И. Бутко и др.; Под ред. В.Н. Ильина. - М.: Радио и связь, 1987. - 386 е.: ил.

2. Автоматизированный тестовый контроль производства БИС / С.С. Булгаков, Д.Б. Десятов, С.А. Еремин, В.В. Сысоев. М.: Радио и связь, 1992. -192 с.

3. Бэндлер Д.У., Салама А.Э. Диагностика неисправностей в аналоговых цепях // ТИИЭР, 1985, N8, с.35-87

4. Влах И., Сингхал К. Машинные методы анализа и проектирования электронных схем. М.: Радио и связь, 1988. - 560 с.

5. Гехер К. Теория чувствительности и допусков электронных цепей. Будапешт, 1971. Пер. с англ. Под ред. Ю.Л. Хотунцева. М., Сов. радио, 1973, 200 е.: ил.

6. Гмурман В.Е. Теория вероятностей и математическая статистика. Учеб. пособие для втузов. Изд. 5-е, перераб. и доп. М., Высшая школа, 1977. 479 е.: ил.

7. Гоноровский И.С. Радиотехнические цепи и сигналы. Учебник для вузов. Изд. 3-е, перераб. и доп. М., Сов радио, 1977, 608 е., ил.

8. Готра З.Ю., Николаев И.М. Контроль качества и надежность микросхем. М.: Радио и связь, 1989. - 168 с.

9. Ефимов И.Е., Козырь И.Я., Горбунов Ю.И. Микроэлектроника: проектирование, виды микросхем, функциональная микроэлектроника: Учеб. пособие для приборостроит, спец. вузов. 2-е изд., перераб. и доп. - М.: Высшая школа.,1987.-416 с.: ил.

10. Знаменский А.Е., Теплюк И.Н. Активные RC-фильтры. М.: Связь, 1970. - 280с.: ил.

11. Калахан Д. Машинные методы расчета электронных машин. Пер с англ. Под ред. С.И. Сирвидаса. М.: Мир, 1970. 344 е.: ил.

12. Крылов В.П. Методы и средства параметрического контроля интегральных микросхем: Учеб. пособие / Владим. гос. ун-т, Владимир, 1998. -52 с/

13. Ланцов В.Н., Рудаков О.В., Мосин С.Г. Метод многочастотного функционального тестирования линейных аналоговых интегральных схем // Обработка и анализ данных. / Отв. ред. С.С. Садыков, P.C. Садуллаев Ташкент: НПО «Кибернетика» АН РУз, 1998. - С. 211-220.

14. Ланцов В.Н., Рудаков О.В., Мосин С.Г. Тестирование аналоговых схем с использованием расчета чувствительности // Микроэлектроника и информатика: Тез. доклада V Всеросс. Межвуз. НТК студентов и аспирантов. 41. М.: МИЭТ, 1998. С.67.

15. Лосев А.К. Линейные радиотехнические цепи. Учебник для радиотехнических специальностей вузов. М., Высшая школа, 1971, 560 е., ил.

16. Мосин С.Г., Морозов М.А. Подсистема получения тестовых воздействий для линейных аналоговых схем И Современные информационные технологии в образовательном процессе и научных исследованиях: Сб. статей к конф. Шуя: «Весть», 2000, - с. 34-35.

17. Мосин С.Г., Рудаков О.В., Лобачев Г.А. Подсистема тестирования аналоговых и смешанных интегральных схем // Конверсия, приборостроение, медицинская техника: Материалы Международной научно-технической конференции. Владимир: ВлГУ, 1999. - С. 133-135.

18. Мосин С.Г., Трофимов М.А. Исследование и сравнение методов моделирования нелинейных аналоговых схем // Микроэлектроника и информатика: Тезисы доклада Межвузовской научно-технической конференции студентов и аспирантов. 4.1. М.: МИЭТ, 1997. С. 37.

19. Неразрушающий контроль элементов и узлов радиоэлектронной аппаратуры. / Б.Е. Бердичевский, Л .Г. Дубицкий, Г.М. Сушинцев, А.П. Агеев; Под ред. Б.Е. Бердичевского. М.: Сов. радио, 1976. 296 с.

20. Основы автоматизации схемотехнического проектирования: Учеб. пособие/ В.Н. Ланцов; Владим. гос. техн. ун-т. Владимир,1996. 88 с.

21. Справочник по математике (для научных работников и инженеров). Г. Корн, Т. Корн. М.: Наука, 1978. - 832 е.: ил.

22. Хьюлсман Л.П. Теория и расчет активных RC-цепей. Пер. с английского под редакцией А.Е. Знаменского, И.Н. Тегапока. М.: Связь, 1973,- 240 е.: ил.

23. Чуа Л.О., Пен-Мин-Лин. Машинный анализ электронных схем. М.: Энергия, 1981. - 638 с.

24. A. Abderrahman, Е. Cerny, В. Kaminska. Worst Case Tolerance Analysis and CLP-Based Multifrequency Test Generation for Analog Circuits. IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, No. 3, March 1999, pp. 332-345.

25. Affordable Solution for Test. Checksum Inc., 1997.

26. M. Aminian, F. Aminian. Neural-Network Based Analog-Circuit Fault Diagnosis Using Wavelet Transform as Preprocessor. IEEE Trans on Circuits and Systems II: Analog and Digital Signal Processing, vol. 47, No. 2, Feb. 2000, pp. 151-156.

27. K. Arabi, B. Kaminska. Oscillation Built-in Self Test (OBIST) Scheme for

28. Functional and Structural Testing of Analog and Mixed-Signal Integrated Circuits. In Proc. IEEE International Test Conference, 1997, pp. 786-795.

29. K Arabi, B. Kaminska. Testing Analog and Mixed-Signal Integrated Circuits Using Oscillation-Test Method. IEEE Trans. Computer-Aided Design of Int. Circuits and Systems, vol. 16, No. 7, July 1997, pp. 745-753.

30. J.S. Augusto, F.B. Almeida. Fully automatic DC fault dictionary construction and test nodes selection for analogue fault diagnosis. In Proc. IEEE ED&TC, 1995, p.605 f

31. T. Austin, N. Khouzam, J.Q. Xia. Dynamic Test Emulation for EDA-Based Mixed-Signal Development Automation. In Proc. IEEE International Test Conference, 1995.

32. A. Balivada, J. Chen, J.A. Abraham. Analog Testing with Time Response Parameters. IEEE Design & Test of Computers, 1996, pp. 18-25.

33. S. Barton. Characterization of high-speed devices using advanced ATE-techniques, results and device problems. In Proc. International Test Conference, Sep. 1989, pp.860-868.

34. R.G. Bennetts Boundary-scan tutorial. ASSET InterTech, 1998, 70 p.

35. A.H. Bratt, A.M.D. Richardson, R.J.A. Harvey, A.P. Dorey. A design-for-test structure for optimizing analogue and mixed signal IC test. In Proc. IEEE ED&TC, 1995, pp.24-33

36. A.M. Brosa, J. Figueras. Characterization of Floating Gate Defects in Analog Cells. In Proc. of European Test Workshop (ETW98), 1998.

37. S. Bullock. Report on a Pilot Pro ject Successfully Implementing a Design-to-Test Methodology. In Proc. IEEE International Test Conference, 1995.

38. C. Burgeson, L. Steele. Suppliers of Test & Material Handling Equipment. VLSI Research Inc., 1997.

39. C.-Y. Chao, H.-J. Lin, L. Milor. Optimal Testing of VLSI Analog Circuits. IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems, vol. 16,

40. No. 1, Jan. 1997, pp.58-76

41. T. Chen, Q. Li, Er-Wei Bai. General Multirate Building Structures with Application to Nonuniform Filter Banks. 1EEE Trans, on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 45, No. 8, Aug., 1998, pp.948-958

42. B. Courtois. CAD and testing oflCs and systems. Where are we going? TIMA Report, Grenoble, 1994, 158p.

43. B. Courtois, M. Lubaszewski. From digital to analog self-checking circuits. From'TIMA Laboratory Annual Report, 1996, pp. 247-261.

44. H. Dai, T.M. Souders. Time-Domain Testing Strategies and Fault Diagnosis for Analog Systems. IEEE Tran. on Instrumentation and Measurement, vol. 39, No. 1, Feb. 1990, pp. 157-162.

45. S. Demidenko, V. Piuri, V. Yarmolik, A. Shmidman. BISTModule for Mixed-Signal Circuits. In Proc. Intern. Symposium on Defect and Fault Tolerance in VLSI Systems, 1998, pp. 349-54.

46. DesignMaxx, FaultMaxx, FaultMaxx. Opmaxx Datasheet, Copyright Opmaxx Inc. 8209, 1998.

47. G. Devarayanadurn, M. Soma, P. Goteti, S.D. Huynh. Test Set Selection for Structural Faults in Analog IC's. IEEE Trans, on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, No. 7, July 1999, pp. 1026-1039

48. K. Gosse, T. Karp, F.M. de Saint-Martin, P. Duhamel, A. Mertins. MMSE

49. Design of Modulated and Tree-Structured Filter Banks for Efficient Tradeoff Between Rate, Distortion, and Decoder Complexity. IEEE Trans, on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 45, No. 8, Aug., 1998, pp. 1044-1056

50. Guerra, E. Roca, F. V. Fernandez and A. Rodriguez-Vazquez. A Hierarchical Approach for the Symbolic Analysis of Large Analog Integrated Circuits. In Proc. of Conference Design, Automation and Test in Europe, Paris, March, 2000, pp. 48-52.

51. N. B.-Hamida, K. Saab, D. Marche, B. Kaminska. FaultMaxx: A Perturbation Based Fault Modeling and Simulation for Mixed-Signal Circuits. In Proc. of Asian Test Conference, October, 1997, pp. 182-187.

52. M. Harteneck, S.Weiss, R.W. Stewart. Design ofNear Perfect Reconstruction Oversampled Filter Banks for Subband Adaptive Filters. IEEE Trans, on Circuits and Systems-II: Analog and Digital Signal Processing, vol. 46, No. 8, Aug., 1999, pp. 10811085

53. A. A. Hatzopoulos, S. Siskos, J.M. Kontoleon. A Complete Scheme of Built-in Self-Test (BIST) Structure for Fault Diagnosis in Analog Circuits and Systems. IEEE Trans, on Instrumentation and Measurement, vol. 42, No.3, June 1993.

54. HSPICE Users' Manual, Meta-Software Inc., 1991.

55. K. Huang, V.K. Agarwal, K. Thulasiraman. Diagnosis of clustered faults and wafer testing. IEEE Trans, on Computer Aided Design, vol. 17, No. 2, 1998, pp. 136148.

56. C.E. Hymowitz, L.G. Meares, B. Halal. New Techniques for Falure Analysis and Test Program Design. Intusoft Newsletters, 1998.

57. S.D. Huynh, S. Kim, M. Soma, J. Zhang. Automatic Analog Test Signal

58. Generation Using Multifrequency Analysis. IEEE Trans, on Circuits and Systems II: Analog and Digital Signal Processing, vol. 46, No. 5, May 1999, pp. 565-576

59. S.D. Huynh, S. Kim, M. Soma, J. Zhang. Testability Analysis and Multifrequency ATPG for Analog Circuits and Systems. In Proc. IEEE International Conference on Computer-Aided Design (ICCAD), 1998, pp. 376-383.

60. IEEE PI 149.4 Working Group Meeting, Feb., 1995

61. IEEE Standard Test Access Port and Boundary-Scan Architecture. IEEE Computer Society, 1990 (additions 1993).

62. ISO 9000: It's impact on design and test. IEEE Design and Test of Computers, vol. 9, No. 4, 1992, pp.66-75

63. G. Iuculano, A. Zanobini. DSP-Based Test System for Mixed-Signal (DigitalAnalog) Electronic Devices. In Proc. 5-th International Symposium on Electrical Measuring Instruments for Low and Medium Frequencies, Vienna, 1992, pp. 197-199

64. U. Kac, F. Novak, S. Macek and M. Zarnik. Alternative Test Methods using IEEE 1149.4. In Proc. of Conference Design, Automation and Test in Europe, Paris, March, 2000, pp. 463-467.

65. B. Kaminska. Mixed-Signal DFT: On Its Way. Evaluation Engineering, vol. 39, No. 3. March 2000, pp. 30-36

66. W. Kao, J.Q. Xia, T.Boydson. A utomatic Test Program Generation for mixed-signal ICs via Design to Test Link. In Proc. IEEE International Test Conference, 1992, pp. 860-865.

67. S. Khaled, B. Kaminska, B. Courtois, M. Lubaszewski. Frequency-based BIST for analog circuit testing. In Proc. IEEE VLSI Test Symp., 1995, pp. 54-59.

68. V. Lantsov, O. Rudakov, S. Mosin. Multifrequency Testing and Diagnosis of

69. Analog and Mixed-Signal Circuits Using Neuromorphic Classifier. Proc. of 6lh Biennial Conference on Electronics and Microsystems Technology // Baltic Electronics Conference. Tallinn Technical University. Tallinn, 1998, pp. 347-349.

70. V.N. Lantsov, O.V. Rudakov, S.G. Mosin. Signature Analyzer and Classifier for Analog Circuits Diagnosis Based on Neural Network // Proc. of 5th Int. Conf. Mixed Design of Integrated Circuits and Systems. Lodz, Poland, 1998, pp. 345-348

71. A. Lechner, A. Richardson, B. Hermes, M. Ohlets. A Design for Testability Study on a High Performance Automatic Gain Control Circuit. In Proc. IEEE VLSI Test Symposium, Monterey, 1998, pp. 376-385.

72. M. Lubaszewski, S. Mir, L. Pulz. ABILBO: Analog built-in block observer. AMATIST ESPRIT-III Basic Research Project. TIM A Laboratory Annual Report, 1997.

73. M. Mahoney. DSP-Based Testing: Key to Fast, Efficient Analog ATE. Microelectronic, manufacturing and testing, April-July, 1986.

74. M. Marzouki, A. Osseiran. The IEEE Boundary Scan Standard: a Test Paradigm to Ensure Hardware System Quality. Quality Engineering Journal, vol. 8, No. 4, 1996, pp.635-645.

75. R. Mason, S. Ma. Mixed Signal DFT at GHz Frequencies. In Proc. IEEE VLSI Test Symposium, Monterey, 1998, pp. 245-251.

76. MATLAB. Getting Started with MATLAB. Version 5. The Math Works, Inc.,1998.

77. MATLAB. Release 11 New Features. The Math Works, Inc., 1999.

78. C.M. Maunder, R.E. Tullos. Test Access Port and Boundary Scan Architecture. New York: IEEE Computer Society Press, 1990.

79. C. Maxfield. An Overview of Mixed-Signal Simulation Techniques. In Proc. Analog & Mixed-Signal Design Conference, 1994, pp. 103 A.

80. L.G. Meares. Automating Analog Test Design. Intusoft Newsletters, 1998.

81. L.S. Milor. A Tutorial Introduction to Research on Analog and Mixed-Signal Circuit Testing. IEEE Trans on Circuits and Systems II: Analog and Digital Signal

82. Processing, vol. 45, No 10, October 1998, pp.1389-1407

83. S. Mir, V. Kolarik, M. Lubaszewski, C. Nielsen, B. Courtois. Built-in Self-Test and Fault Diagnosis of Fully Differential Analogue Circuits. IEEE/ACM Int. Conference on Computer-Aided Design, 1994, pp. 486-490.

84. S. Mir, M. Lubaszewski, V. Kolarik, B. Courtois. Fault-based Testing and Diagnosis of Balanced Filters. Journal: Analogue Circuits and Signal Processing, Kluwer Academic Publisher, 1996, pp. 1-22.

85. S. Mir, M. Lubaszewski, B. Courtois. Unified built-in self test for fully differential analog circuits. Journal, of Electronic. Testing: Theory and Application. (JETTA), Kluwer Academic Publ., 1996, No. 2, pp. 1-21.

86. Mir S., Lubaszewski M., Kolarik V., Courtois B. Analogue On-line/Off-line test unification for fully differential circuits. From TIMA Laboratory Annual Report, 1995, pp. 2-7

87. M. Modi. Mixed-signal test bus, embedded core test efforts advance. IEEE Design & Test of Computers, vol. 16, No. 2, 1999, pp. 5-7.

88. F. Mohamed, M. Marzouki. Test and Diagnosis of Analog Circuits: When Fuzziness can Lead to Accuracy. Journal of Electronic Testing: Theory and Applications (JETTA), Kluwer Academic Publishers, No. 9, 1996, pp. 1-15.

89. F. Mohamed, M. Marzouki, F. Novak, A. Biasizzo. A Fuzzy Logic Approach for Analog Circuit Diagnosis. International Mixed-Signal Testing Workshop, June, 1995, pp. 101-106

90. M.S. Nejad, L. Sebaa, A. Ladick, F. Kuo. Analog built-in self-test. In Proc. Seventh Annual IEEE International ASIC Conference and Exhibit, 1994, p. 407-411.

91. F. Novak, A. Biasizzo, I. Mozetic, M. Santo. Enhancing Design-for-Test for Active Analog Filters by Using CLP(R). In Journal of electronic testing: Theory and Applications, Vol. 4, No. 4, 1994, pp.315-329.

92. F. Novak, B. Hvalda, S. Klav. On Analog Signature Analysis. In Proc. IEEE Design Automation and Test in Europe Conference, Munich, 1999, pp. 249-253.

93. C.Y. Pan, K.T Cheng. Implicit Functional Testing for Analog Circuits. In Proc. IEEE VLSI Test Symposium, 1996, pp.489-494.

94. C.Y. Pan, K.T. Cheng. Pseudo-Random Testing and Signature Analysis for Mixed-Signal Circuits. Proc. International Conference on CAD, Nov. 1995, pp. 102107.

95. C.Y. Pan, K.T. Cheng. Pseudo-Random Testing for Mixed-Signal Circuits. IEEE Trans., 1997, vol. CAD-16, No. 10, p. 1173-1185

96. W.M. Peter John. Statistical Methods in Engineering and Quality Assurance. John Wiley, 1990.

97. B. Provost, A.M. Brosa, E. Sanchez-Sinencio. Unified Approach for a Time Domain Built-in Self-Test Technique and Fault Detection. In Proc. 8th Great Lakes Symposium on VLSI, 1998.

98. M. Renovell, F. Azais, Y. Bertrand. Analog signature analyzer for analog circuits: BIST implementations. In Proc. IEEE International Mixed-Signal Testing Workshop, 1996, pp. 233-238.

99. G. W. Roberts. Improving The Testability Of Mixed-Signal Integrated Circuits. In Proc. IEEE Custom Integrated Circuits Conference. Santa Clara, CA, May, 1997, pp. 214-221.

100. G.W. Roberts. Metrics, Techniques and Recent Developments in Mixed-Signal Testing, proceedings of the IEEE/ACM International Conference on Computer Aided Design, San Jose, CA, Nov, 1996, pp. 514-521.

101. E. Rosenfeld. Issues for mixed-signal CAD-Tester Interface. In Proc. IEEE International Test Conference, 1989, pp. 585-590.

102. S. Runyon. Virtual test targets mixed-signal IC's. Electronic Engineering Times, November 4, 1996.

103. Semiconductor Industry Association. The National Technology Roadmap for Semiconductors, 1994.

104. M. Slamani, B. Kaminska. Analog Circuit Fault Diagnosis Based on

105. Sensitivity Computation and Functional Testing. IEEE Design and Test of Computers, March 1992, pp. 30-39.

106. M. Slamani, B. Kaminska. Fault observability analysis of analog circuits in frequency domain. IEEE Trans, on Circuits and Systems II: Analog and Digital Signal Processing, vol. 43, No. 2, Feb. 1996, pp. 134-139

107. M. Slamani, B. Kaminska, G. Quesnel. An Integrated Approach for Analog Circuit Testing with Minimum Number of Detected Parameters. In Proc. IEEE International Test Conference., 1994, pp. 62 i -640.

108. S.S. Somayajula, S.E. Sanchez, Pineda de Gyvez, Analog fault diagnosis based on ramping power supply current signature clusters. IEEE Trans, on Circuits and Systems II: Analog and Digital Signal Processing, vol. 43, No. 10, Oct. 1996, pp. 703712.

109. V. Stopjakova, H. Manhaeve, M. Sidiropulos. On-chip Transient Current Monitoring for Testing of Low-Voltage CMOS IC. In Proc. IEEE Design Automation and Test in Europe Conference, Munich, 1999, pp.538-542.

110. S. Sunter. The PI 149.4 Mixed Signal Test Bus: Costs and Benefits. In Proc. IEEE International Test Conference, 1995, pp. 444-450.

111. Test Designer. A software program from Intusoft, 1998.

112. M.H. Touati, F. Mohamed., M. Marzouki. System fault diagnosis based on fuzzy qualitative approach. EDTC'96

113. S.J. Tsai. Test Vector Generation for Linear Analog Devices. In Proc. International Test Conference, 1991, pp. 592-597.

114. P.N. Variyam, A. Chatterjee. Specification-Driven Test Design for Analog Circuits. In Proc. International Symposium on Defect and Fault Tolerance in VLSI Systems, Austin, 1998, pp. 335-340.

115. P.N. Variyam, A. Chatterjee. Test Generation for Comprehensive Testing of Linear Analog Circuits Using Transient Response Sampling. In Proc. International- 172

116. Conference on Computer Aided Design, Nov. 1997, pp. 382-385.

117. P.N. Variyam, J. Hou, A. Chatter.ee. Efficient Test Generation for Transient Testing of Analog Circuits Using Partial Numerical Simulation. In Proc. IEEE VLSI Test Symposium, San Diego, 1999, pp. 220-225.

118. B. Vinnakota, R. Harjani. The design of analog self-checking circuits. In Proc. of the Seventh International Conference on VLSI Design, 1994, p. 67-70.

119. P. Wambacq, Georges G.E. Gielen, W. Sansen Simvolic Network Analysis Method f6r Practical Analog Integrated Circuits: A Survey. IEEE Trans on Circuits and Systems II: Analog and Digital signal processing, vol. 45, No 10, October 1998, pp. 13311341.

120. Z. Wang, G. Gielen, W. Sansen. Testing of analog integrated circuits based on power-supply current monitoring and discrimination analysis. In Proc. of the Third Asian Test Symposium, 1994, pp. 126-131.

121. Z. You, S.E. Sanchez. Analog system-level fault diagnosis based on a symbolic method in the frequency domain. IEEE Trans, on Instrumentation and Measurement, vol.44, No,.1, Feb. 1995, pp. 28-35.

122. J. Zhang, S. Huynh, M. Soma. A Test Point Algorithm for Mixed-Signal Circuits. In Proc. IEEE VLSI Test Symposium, San Diego, 1999, pp.319-324

123. XSPICE Users Manual, Georgia Institute of Research, Georgia Institute of Technology, Atlanta GA 30332-0800.1. УТВЕРЖДАЮ"

124. Зам. директора ГУЛ ГМНТЦ "НАУКА" Минобразования РФдоктор) т^хщ^еских наук,1. Сидорин 2000г.1. АКТвнедрения результатов диссертационной работы Мосина С. Г.

125. Развитие математического и программного обеспечений подсистем тестирования для САПР аналоговых и смешанных ИМС".

126. Новизна внедренных разработок состоит в получении тестопригодных решений для разрабатываемых современных микроэлектронных устройств, автоматизированном формировании входных тестовых воздействий и справочников неисправностей для них.

127. Зав. кафедрой ИВТ, профессор, д.т.н.1. Профессор, д.т.н.1. В.Костров1. В.Н. Ланцов1. Доцент, к.т.н.S1. Л. А. Калыгина