автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.12, диссертация на тему:Методы и средства автоматизации тестопригодного проектирования смешанных интегральных схем

доктора технических наук
Мосин, Сергей Геннадьевич
город
Владимир
год
2013
специальность ВАК РФ
05.13.12
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Методы и средства автоматизации тестопригодного проектирования смешанных интегральных схем»

Автореферат диссертации по теме "Методы и средства автоматизации тестопригодного проектирования смешанных интегральных схем"

005060594

у

На правах рукописи

МОСИН Сергей Геннадьевич

МЕТОДЫ И СРЕДСТВА АВТОМАТИЗАЦИИ ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ СМЕШАННЫХ ИНТЕГРАЛЬНЫХ СХЕМ

Специальность 05.13.12 - Системы автоматизации проектирования (промышленность)

Автореферат диссертации на соискание ученой степени ора технических наук

З О МАЙ 2013

Владимир 2013

005060594

Работа выполнена на кафедре «Вычислительная техника» в Федеральном государственном бюджетном образовательном учреждении высшего профессионального образования Владимирский государственный университет имени Александра Григорьевича и Николая Григорьевича Столетовых (ВлГУ).

Научный консультант - Ланцов Владимир Николаевич, доктор технических

Официальные оппоненты - Корячко Вячеслав Петрович, доктор технических

Ведущая организация - ФГБОУ ВПО Нижегородский государственный технический университет им. Р. Е. Алексеева, г. Н. Новгород.

Защита состоится « 26 » июня 2013 г. в 15 часов 00 минут на заседании диссертационного совета Д 212.025.01 при ВлГУ по адресу: 600000, г. Владимир, ул. Горького, 87, ауд. 335-1.

С диссертацией можно ознакомиться в научной библиотеке ВлГУ.

Автореферат разослан «25» марта2013 года.

Отзывы на автореферат в двух экземплярах, заверенные печатью, просим направлять по адресу университета: 600000, г. Владимир, ул. Горького, 87, ВлГУ, ученому секретарю диссертационного совета Д 212.025.01

Ученый секретарь

наук, профессор, проректор по научной работе ФГБОУ ВПО Владимирский государственный университет имени Александра Григорьевича и Николая Григорьевича Столетовых (ВлГУ), г. Владимир

наук, профессор, ФГБОУ ВПО Рязанский государственный радиотехнический университет, заведующий кафеярой «Системы автоматизированного проектирования вычислительных средств», г. Рязань

Каравай Михаил Федорович, доктор технических наук, Федеральное государственное бюджетное учреждение науки Институт проблем управления им. В. А. Трапезникова Российской академии наук, заведующий лабораторией, г. Москва

Руфицкий Михаил Всеволодович, доктор технических наук, профессор кафедры «Основы нанотехноло-гий и теоретическая физика» ВлГУ, г. Владимир

диссертационного совета д.т.н., доцент

Н. Н. Давыдов

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность работы. Появление новых интегральных технологий стало определяющим фактором при разработке эффективной микроэлектронной аппаратуры для широкого спектра приложений в различных отраслях промышленности. Одно из интенсивно развивающихся направлений в производстве специализированных заказных интегральных схем (ИС) - проектирование и производство смешанных ИС, объединяющих на одном кристалле аналоговую и цифровую подсхемы, обеспечивая одновременную обработку аналоговых и цифровых сигналов в режиме реального времени. Во многом этому способствует широкое развитие таких высокотехнологичных приложений, как беспроводная связь, компьютерные и сетевые технологии, цифровая обработка аналоговых данных и др. Данное решение позволяет существенно сократить габариты устройств, а также повысить качество обработки данных.

Наиболее трудоемким и дорогостоящим этапом при создании ИС является тестирование, на которое приходится порядка 40-60 процентов от общих затрат, требуемых на проектирование и реализацию устройства. Тестирование позволяет обнаруживать производственные дефекты в ИС и устранять причины их возникновения, обеспечивать высокие требования по надежности и качеству функционирования проектируемых схем, устанавливать соответствие выходных характеристик их спецификациям. Чрезвычайная сложность задачи тестирования смешанных ИС определяется такими факторами, как повышение степени интеграции и рост сложности проектируемых интегральных схем, включающих десятки и сотни миллионов транзисторов, необходимость выполнять тестирование на всех стадиях производственного процесса, различные особенности функционирования аналоговых и цифровых подсхем, специфика влияния неисправностей на работу этих подсхем, ограниченный доступ к внутренним узлам относительно внешних выводов корпусов ИС, и др.

Актуальным и широко исследуемым направлением современного проектирования ИС является получение и разработка методик, позволяющих еще в процессе проектирования устройства формировать для него сценарий тестовых мероприятий, - тестопригодное проектирование (DFT-Design for Testability). Реализация данного подхода предусматривает выработку и обеспечение условий эффективного тестирования произведенных

-3-

ИС, использование внутри проекта тестирующих подсхем или, основываясь на результатах схемотехнического анализа, осуществление специального преобразования исходной схемы с целью повышения контролируемости ее параметров. Современные средства САПР (CADANCE, Mentor Graphics, Synopsis) широко применяют DFT-подход для цифровых ИС, поскольку для данных схем существуют эффективные модели неисправностей, средства автоматизированного формирования тестов и анализа выходных откликов, решения организации и проведения внутрисхемного тестирования, стандарты граничного сканирования (IEEE 1149.1, 1149.6 и др.). В области программного обеспечения САПР для тестирования аналоговых и смешанных ИС наблюдается недостаток средств. Это объясняется во многом отсутствием универсальных методик тестирования аналоговых схем, а также сложностью сопряжения методов тестирования цифровых подсхем с существующими методами тестирования аналоговых подсхем смешанных ИС.

Отечественная прикладная наука добилась успехов в разработке методов и средств параметрического контроля интегральных схем. Идеи и методы контроля, связанные с вопросами комплексного обеспечения надежности и качества полупроводниковых приборов и интегральных схем, изложены в работах известных отечественных ученых: H.H. Горюнова, Ю.Г. Миллера, A.A. Чернышева, Т.И. Алексаняна, Я.В. Малкова и др.; теоретические основы технической диагностики - в работах В.В. Карибского, П.П. Пархоменко, Е.С. Согомонян, В.Ф. Халчева и др.; основы тестирования и диагностики электронных устройств - в работах P.C. Гольдмана, В.П. Чипулиса, Ю.В. Малышенко, С.Г. Шаршунова и др. Основы автоматизации проектирования электронных схем изложены в работах В.Н. Ильина, Г.Г. Казеннова, И.П. Норенкова, К.О. Петросянца, В.П. Сигорского, и др. Среди зарубежных ученых, внесших свой вклад в разработку методов и средств тестирования и диагностики аналоговых и смешанных интегральных схем, следует выделить: Дж. Бэндлер, Б. Каминску, Б. Куртуа, А.Э. Саламу, М. Реновелл, Л. Милор, М. Сому, М. Сачдев, Б. Виннакоту и др.

Привлечение подхода тестопригодного проектирования на ранних этапах разработки устройств позволяет использовать в полном объеме функциональные особенности ИС при формирования для них тестовых решений, что крайне важно при разработке высоконадежных заказных аналоговых и смешанных интегральных схем. Включение тестирующих

подсхем требует дополнительной площади кристалла и связано с определенными затратами. Однако тестирующие подсхемы позволяют обнаруживать неисправности и определять причины их появления на ранних стадиях процесса производства ИС, а также устранять их за счет внесения изменений в проект или технологический процесс, сокращая число итераций при прототипировании. Использование эффективного тестового решения позволяет при дополнительных затратах на его реализацию сократить общие затраты времени и средств на организацию и проведение тестирования производимых ИС. Развитие средств автоматизации тестопригодного проектирования смешанных ИС, обеспечивающих в рамках сквозного маршрута проектирования выбор эффективных тестовых решений по критерию минимума стоимостных затрат и максимума покрываемости неисправностей, - актуальная проблема в области проектирования современных ИС.

Объект исследования - подсистема тестопригодного проектирования САПР смешанных ИС, обеспечивающая автоматизацию проектных процедур разработки и выбора эффективных способов тестирования и тестирующих подсхем.

Предмет исследования - методы тестопригодного проектирования смешанных ИС, средства математического моделирования, структурные решения тестопригодного проектирования аналоговых и цифровых подсхем.

Пель работы - совершенствование методов и средств автоматизации тестопригодного проектирования смешанных интегральных схем, представленных совокупностью моделей, подходов, алгоритмов и структурных решений. Для достижения данной цели в работе ставятся и решаются следующие задачи, направленные на развитие математического, методического, информационного и программного обеспечения подсистемы тестопригодного проектирования САПР смешанных интегральных схем:

1. Анализ проблем тестопригодного проектирования смешанных ИС в системах автоматизированного проектирования.

2. Разработка методологии тестопригодного проектирования смешанных ИС, обеспечивающей формирование тестов и выбор тестовых механизмов одновременно для цифровой и аналоговой подсхем в рамках сквозного маршрута проектирования смешанной ИС.

3. Разработка подхода к выбору тестового решения для аналоговых и смешанных ИС, основанного на модели оценки стоимостных затрат на организацию и проведение тестирования.

-5-

4. Разработка моделей оценки стоимости тестирования и критериев выбора оптимальной тестовой стратегии и тестовой структуры для смешанных ИС.

5. Разработка методики автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем, основанной на реконфигурировании в автогенератор.

6. Разработка методики реализации справочника неисправности на основе искусственной нейронной сети.

7. Разработка структурных решений внутрисхемного тестирования аналоговых и цифровых подсхем смешанной ИС.

Методы исследования. Для решения поставленных задач в диссертационной работе использованы методы теории САПР, системного анализа, теории электрических цепей и теории радиотехнических сигналов, методы математического моделирования схем, элементы теории функций комплексных переменных, теории чувствительности, методы линейной алгебры, элементы теории вероятностей и математической статистики, методы параллельных вычислений.

Научная новизна работы. Новые научные результаты, полученные в работе, состоят в следующем:

1. Разработана методология автоматизации тестопригодного проектирования смешанных ИС, обеспечивающая формирование тестов и выбор тестовых механизмов одновременно для цифровой и аналоговой подсхем в рамках сквозного маршрута проектирования смешанной ИС.

2. Предложен подход к выбору тестового решения для аналоговых и смешанных ИС, основанный на модели оценки стоимостных затрат на организацию и проведение тестирования.

3. Разработана стоимостная модель оценки затрат на процесс производства ИС с выполнением тестирования на разных стадиях реализации -до корпусирования и/или после корпусирования ИС. Определен критерий выбора оптимальной тестовой стратегии при производстве ИС.

4. Разработана стоимостная модель оценки затрат на организацию и проведение тестирования смешанных ИС, учитывающая особенности применяемой интегральной технологии и специфику проектируемого устройства. Определены критерии выбора способа тестирования (внутрисхемное или внешнее) и тестирующей структуры для цифровой и аналоговой подсхем смешанной ИС.

5. Разработан алгоритм оптимального размещения кристаллов ИС на кремниевой пластине, обеспечивающий максимальное количество производимых элементов при максимальном удалении от запрещенной границы от кромки.

6. Реализована методика автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем, основанная на реконфигурировании оригинальной схемы в автогенератор. Предложен механизм проведения внутрисхемного тестирования аналоговых подсхем совместно с цифровыми подсхемами смешанной ИС.

7. Разработана методика реализации справочника неисправностей для аналоговой и цифровой подсхем на основе искусственной нейронной сети для проведения функционального тестирования. Полученный справочник неисправностей обеспечивает решение комплексной задачи тестирования и диагностики неисправностей смешанной ИС.

8. Разработаны структурные решения внутрисхемного тестирования аналоговых и цифровых подсхем смешанной ИС, оформленные в виде библиотеки. Реализованы поведенческие, структурные и физические модели тестирующих компонентов и подсхем, обеспечивающие простоту и эффективность их включения в проект в рамках предложенной методологии тестопригодного проектирования смешанных ИС.

Практическая ценность. Предлагаемая методология автоматизации тестопригодного проектирования смешанных ИС согласована с маршрутом проектирования коммерческих САПР и может быть интегрирована в процесс разработки ИС без существенных расходов, обеспечивая сокращение временных и стоимостных затрат. Разработаны подсистемы САПР, реализующие предложенные модели и алгоритмы. Программные модули автоматизируют процесс принятия решения при выборе тестовой стратегии и тестирующих структур, а также принятия решения о размещении кристаллов ИС на полупроводниковой пластине, обеспечивая повышение числа производимых кристаллов на одной пластине. Реализована библиотека структурных решений тестопригодного проектирования, обладающая открытой архитектурой, что позволяет ее использовать по принципу многократного применения (Design Reuse) для различных проектов, в том числе стандартными средствами САПР.

Реализация и внедрение результатов работы. Работа по теме диссертации проводилась на кафедре вычислительной техники ВлГУ в Центре

микроэлектронного проектирования и обучения в рамках х/д и г/б НИР, проекта 1ST 2000-30193 (REASON) Европейской пятой рамочной программы FP5, проектов № 2973 и № 9991 аналитической ведомственной целевой программы «Развитие научного потенциала высшей школы (20092010 годы)», проекта № 7.4151.2011 государственного задания Министерства образования и науки РФ. Полученные результаты исследований в виде методологии, методик, моделей, алгоритмов, структурных решений те-стопригодного проектирования заказных смешанных ИС, программного обеспечения подсистемы САПР тестопригодного проектирования внедрены в в/ч 35533 (г. Москва), ЗАО «ИДМ-Плюс» (г. Зеленоград), ФКП «ГЛП «Радуга» (г. Радужный), ООО «РУСАЛОКС» (г. Москва), а также в учебный процесс кафедры вычислительной техники ВлГУ.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на следующих семинарах и конференциях:

- Electronic Circuits and Systems Conference (ECS). Slovakia, Bratislava: 2001,2005;

- Всероссийская научной конференции «Проектирование научных и инженерных приложений в среде MATLAB». Москва, ИПУ РАН: 2002, 2004;

- International Conference «Mixed Design of Integrated Circuits and Systems (MIXDES)». Poland: 1998,2002,2004,2005, 2006;

- Международная научно-техническая конференция «Актуальные проблемы электронного приборостроения». Саратов, СГТУ: 2002, 2010;

-Biennial Conf. on Electronics and Microsystems Technology «Baltic Electronics Conference (ВЕС)». Estonia, Tallinn: 2002, 2004,2010;

- Международная научно-техническая конференция "Новые методологии проектирования изделий микроэлектроники". Владимир: 2002, 2003, 2004;

- IEEE East-West Design and Test Symposium (EWDTS). Ukraine: 2003, 2005, 2011; Russia: 2006,2009, 2010; Armenia: 2007;

- IEEE International Conference "The Experience of Designing and Application of CAD System in Microelectronics (CADSM)". Ukraine, Lviv: 2005, 2007,2011;

- IEEE 10th European Test Symposium (ETS'05). Estonia, Tallinn: 2005;

-IEEE 18th International Conference on System Engineering

(ICSEng'2005). USA, Las Vegas: 2005;

- International Conference "Electronics". Bulgaria, Sozopol: 2005, 2006;

- Конференция «Управление большими системами». Москва, ИПУ РАН: 2012.

На защиту выносятся:

1. Методология автоматизации тестопригодного проектирования смешанных ИС, обеспечивающая формирование тестов и выбор тестовых механизмов одновременно для цифровой и аналоговой подсхем.

2. Подход к выбору тестового решения для аналоговых и смешанных ИС, основанный на модели оценки стоимостных затрат на организацию и проведение тестирования.

3. Стоимостная модель оценки затрат на процесс производства ИС с выполнением тестирования на разных стадиях реализации - до корпусиро-вания и/или после корпусирования ИС.

4. Стоимостная модель оценки затрат на организацию и проведение тестирования смешанных ИС, используемая при выборе тестовой структуры для цифровой и аналоговой подсхем смешанной ИС.

5. Алгоритм оптимального размещения кристаллов ИС на кремниевой пластине.

6. Методика автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем, основанная на реконфигурировании оригинальной схемы в автогенератор.

7. Методика реализации справочника неисправностей для аналоговой и цифровой подсхем на основе искусственной нейронной сети для проведения функционального тестирования.

8. Структурные решения внутрисхемного тестирования аналоговых и цифровых подсхем смешанной ИС, оформленные в виде библиотеки.

Публикации по работе. Основные результаты работы опубликованы в двух монографиях, учебном пособии, 46 статьях в изданиях, рекомендованных ВАКом и в международных изданиях, входящих в систему цитирования Web of Science, Scopus и INSPEC.

На разработанные подсистемы тестопригодного проектирования САПР смешанных ИС получены 3 свидетельства о регистрации программ для ЭВМ.

Общее число публикаций по теме диссертации составляет 66.

Структура работы. Диссертация состоит из введения, шести глав, заключения и двух приложений. Основная часть диссертации изложена на 374 страницах машинописного текста. Диссертация содержит 187 рисун-

ков и 45 таблиц. Библиография включает 147 наименований.

СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность работы, сформулирована цель и поставлены задачи исследования, определена научная новизна и практическая ценность полученных результатов, приведена структура диссертации и основные положения, выносимые на защиту.

В первой главе диссертации проведен анализ современных тенденций и технологий проектирования интегральных схем. Представлена статистика по применению подхода тестопригодного проектирования (DFT - Design for Testability) при разработке современных цифровых, аналоговых и смешанных ИС. Приведена классификация /ЖГ-решений. Показано, что методы внутрисхемного тестирования — эффективное решение для использования в сложных системах, требовательных к безопасности и надежности их функционирования. Продемонстрирована эффективность использования DFT-методик на ранних стадиях процесса проектирования ИС, связанная с учетом функциональных особенностей реализуемых устройств. Исследован маршрут проектирования ИС, с использованием поведенческих, структурных и физических моделей. Показаны пути адаптации маршрута проектирования к тестопригодному проектированию ИС. Рассмотрены маршруты тестопригодного проектирования ИС в ведущих САПР мировых лидеров. Проведено сравнение и анализ возможностей тестопригодного проектирования ИС в коммерческих САПР. Отмечено отсутствие в их составе средств автоматизации тестопригодного проектирования аналоговых и смешанных ИС.

На основе проведенного анализа формулируется направление исследований, заключающееся в развитии средств автоматизации тестопригодного проектирования смешанных интегральных схем. Ставятся задачи работы, решение которых позволит обеспечить развитие математического, методического, информационного и программного обеспечения подсистемы тестопригодного проектирования САПР смешанных интегральных схем (рис. 1).

Вторая глава посвящена разработке методологии автоматизации тестопригодного проектирования смешанных ИС, позволяющая формализовать решение задачи выбора тестового решения для смешанных ИС

(рис. 2). Реализация методологии ориентирована на использование подхода параллелизма с привлечением современных многоядерных и/или многопроцессорных вычислительных систем, что обеспечивает одновременное выполнение проектных процедур с использованием различных предлагаемых методов тестирования аналоговых и цифровых подсхем.

Математическое обеспечение: - стоимостная модель оценки затрат на процесс производства ИС. Критерий выбора оптимальной тестовой стратегии при производстве ИС; - стоимостная модель оценки затрат на организацию и проведение тестирования смешанных ИС. Критерии выбора способа тестирования и тестирующей структуры; - алгоритм размещения кристаллов на пластине, и др. Методическое обеспечение: - методология тестопригодного проектирования смешанных ИС; - методика автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем,основанной на реконфигурироеании в автогенератор; - методика реализации справочника неисправности на основе искусственной нейронной сети, и др.

Информационное обеспечение: - библиотека структурных решений тестопригодного проектирования смешанных ИС. Программное обеспечение: - модуль анализа тестопригодности; - модуль выбора тестовой стратегии; - модуль формирования тестовых наборов и др.

Техническое обеспечение

Л и НТВ исти ческое обеспечение Организационное обеспечение

Подсистема тестопригодного проектирования САПР смешанных И С

Рис. 1. Составные части подсистемы тестопригодного проектирования САПР смешанных ИС

Полученные количественные характеристики покрываемое™ неисправностей и результат стоимостного расчета используются для выбора наиболее эффективных методов тестирования для аналоговой и цифровой подсхем. Затем обеспечивается согласование этих методов для комплексного тестирования смешанной (аналого-цифровой) схемы.

Для аналоговой подсхемы возможен выбор между методом внешнего тестирования и методами внутрисхемного тестирования на основе реконфигурации в автогенератор (OB1ST - Oscillation Built-In Self-Test), использования мультиплексоров, повышающих наблюдаемость внутренних узлов схемы (MUX), и методов на основе сигнатурного анализа [SA - Signature Analysis).

Для цифровых подсхем предложены методы внешнего тестирования и внутрисхемного тестирования на основе встроенного самотестирования (LSFR- Linear Shift Feedback Register, MISR - Multi-Inpit Shift Register и

-11 -

BILBO - Built-in Logic Block Observer), схем мультиплексирования внутренних узлов (MUX) и сканирующих цепей (Scan).

Рис. 2. Методология тестопригодного проектирования смешанных ИС

На основе системного анализа разработана и предложена функциональная модель автоматизации тестопригодного проектирования смешанных ИС, основанная на четырех ключевых процессах: моделирование, формирование тестов, формирование тестирующих подсхем и принятие решения (рис. 3).

В предлагаемой методологии средства моделирования наряду с традиционными видами анализа электронных схем (анализ по постоянному току, в частотной и временной областях) включают специализированные виды анализа - анализ чувствительности, моделирование неисправностей, метод Монте-Карло и анализ тестопригодности, которые обеспечивают математические основы для решения задач выбора тестовых узлов и входных тестовых воздействий, построения справочника неисправностей и оценки покрываемое™ неисправностей.

Рис. 3. Диаграмма функциональной декомпозиции автоматизации тестопригодного проектирования

Предложены способы моделирования неисправностей аналоговых и цифровых подсхем. Представлены математические модели дефектов и неисправностей, позволяющие в ходе моделирования получать информацию о влиянии рассматриваемых неисправностей на общее поведение схемы и отдельные ее характеристики.

Предложены способы выполнения количественного анализа тестопригодности аншюговой и цифровой подсхемы, которые позволяют получить оценку тестопригодности по структурному описанию схемы. Такой анализ обеспечивает возможность количественно оценить тестопригод-ность каждого узла схемы и построить сечение схемы по уровню тесто-

-13-

пригодности. По полученным числовым значениям легко определить области с невысокой тестопригодностью и провести оценку эффективности различных методов ее улучшения.

Предложены методы выбора тестовых узлов с использованием анализа чувствительности (для аналоговых подсхем) и расчета коэффициента энтропии (для цифровых подсхем). Оба метода позволяют из множества внутренних узлов схемы выбрать минимальное подмножество тестовых узлов, выходные отклики относительно которых предоставляют полную информацию о работоспособности схемы, когда на ее вход подают тестовое воздействие.

Предложен подход к построению справочника неисправностей на основе параметрических таблиц, содержащих значения границ допустимого диапазона для каждого контролируемого параметра в тестовых узлах. Формирование границ допустимого диапазона выполняется с использованием метода Монте-Карло с учетом допусков на параметры внутренних компонентов.

При выборе способа тестирования смешанной ИС и используемой для этих целей тестирующей подсхемы в предлагаемой методологии применяют следующие показатели - эффективность тестирования (величина покрываемости неисправностей) и затраты на организацию и проведение тестирования реализованных ИС.

В процессе моделирования для каждого загруженного 0/*Т-решения рассчитывают значения покрываемости неисправностей проектируемой схемы. В результате для всех рассматриваемых ¿ЖГ-проектов создают множество вычисленных значений покрываемости неисправностей - РС.

Оценку стоимости тестирования для каждого рассматриваемого ОГГ-проекта выполняют на основе стоимостной модели, представленной в Главе III. В результате проведенной оценки для всех рассматриваемых ¿»/•Т-проектов создают множество рассчитанных значений стоимости тестирования для к ОРТ-решений - СМа1.

В процессе проектирования инженер-разработчик задает стратегию принятия решения и требования к критериям выбора — величина минимально допустимой границы прокрываемости неисправности () и относительная величина допустимого отклонения от минимальной стоимости тестирования (Кшеао1а1).

Предлагаемая методология поддерживает возможность использования однокритериального и многокритериального механизма выбора тесто-

вого решения.

В случае однокритериального выбора в качестве целевой функции выступает максимум покрываемое™ неисправностей с использованием анализируемой тестирующей подсхемы или минимум стоимости на выполнение тестирования с помощью данной тестирующей подсхемы, т.е. тах{ГС1},Уг = 1.к,

или

тт \Ctotal,}, V/ = 1. к, Оо/а/, с С^а1}.

Целевые функции для многокритериального выбора —

тах{ГС,},Уг = \.к,ЗСШа1, < тт{Оо/й/у ]{1 + /?а/ег,ом,),У/ = \.к,Оо1а1} сОоЫ, или

тт{СШа1:},V/ = 1.А,ЗГС, >тах{/=Су](1 -^Стп), V/ = 1.1,сРС, где к - количество рассмотренных /ЖГ-проектов; РС1 - величина покрываемое™ неисправностей для /'-го ЭКГ-проекта; РС-множество вычисленных значений покрываемое™ неисправностей для к ОРТ-проектов; ОоГа/, - величина стоимоста тестирования с использованием /"-го ОГТ-решения; СШа! - множество рассчитанных значений стоимоста тестаро-вания для к й/Т-решений.

Приведено описание состава разработанного программного обеспечения, реализующего проектные операции предложенной методологии в подсистеме тестопригодного проектирования САПР смешанных ИС.

В третьей главе приведены предложенные подход и стоимостные модели, используемые при выборе тестовой стратегии и тестовой структуры, а также алгоритм оптимального размещения кристаллов ИС на полупроводниковой пластине.

Процесс производства ИС представлен совокупностью основных стадий - реализация топологии устройства на пластине полупроводника, разрезание пластины с формированием некорпусированных кристаллов (НКК) и корпусирование ИС. Обеспечение гарантированного качества ИС реализуется за счет выходного контроля и функционального тестирования кристаллов микросхем. С развитием технологий и инструментальных средств стало возможным за разумные время и финансовые затраты проводить функциональное тестирование НКК заказных ИС. Реализация данной стадии позволяет отказаться от корпусирования и последующего те-старования неисправных кристаллов. Однако выполнение тестарования

НКК в зависимости от конкретных условий может как снижать затраты на производство ИС, так и приводить к их увеличению. Использование предлагаемой стоимостной модели при выборе оптимальной тестовой стратегии позволяет принимать решение о целесообразности тестирования НКК, а также осуществить поиск условий и тестовых наборов, обеспечивающих минимизацию стоимости производимых ИС.

Реализована модель для расчета стоимости процесса производства ИС, не использующего тестирование НКК (1) и стоимости процесса производства ИС, в котором реализовано тестирование НКК (2)

где Nj,, - объем партии произведенных кристаллов, Cin - стоимость кристалла ИС, С^к - стоимость корпуса и операции корпусирования, Сchip test - стоимость тестирования ИС, Уш - коэффициент выхода годных кристаллов на стадии формирования, Ypack - коэффициент выхода годных на стадии корпусирования, fcc - покрываемость неисправностей

при тестировании ИС.

В силу наличия брака и эффектов тест-промаха понесенные затраты необходимо рассматривать применительно к объему лишь исправных ИС. Для этого использованы приведенные значения стоимости производства к

коэффициенту выхода годных: C\ldd = . и С*иы = Y~„.

Степень влияния стадии тестирования НКК на стоимость корректно функционирующих ИС оценивают как разность

Если знак ЬСоШ положительный, то стадия тестирования НКК позволяет сократить стоимость выпускаемых ИС на величину, равную модулю (3), в противном случае тестирование НКК приводит к росту стоимости ИС на величину 5Сои(.

Для тестирования реализуемых ИС могут быть использованы внутрисхемные или внешние тестовые решения. Разработана модель оценки стоимости организации и проведения тестирования смешанных ИС, представленная многопараметрической функцией, которая позволяет сравнить различные тестовые решения друг с другом и выбрать для проектируемой

(1) (2)

(3)

смешанной схемы наиболее подходящий тестовый механизм с точки зрения минимизации стоимостных затрат (4).

С total — Сprep + Сmanuf + С exec' ^

где СРгеР - стоимость подготовки теста, Стага(/- стоимость производства тестирующей подсхемы, Сехес - стоимость выполнения теста.

Величина С,о,ы влияет на значение стоимости кристалла ИС (Ст), используемое в (1) и (2). Параметрами функции являются более 50 характеристик, описывающих особенности используемой интегральной технологии, технологической линии и реализуемого проекта. Предложенная стоимостная модель позволяет уже на ранних стадиях проектирования принимать решение о выборе способа тестирования разрабатываемой смешанной ИС - внешнее, не использующее тестирующих подсхем, и внутреннее, использующее встраиваемые в ИС тестирующие подсхемы.

Подход к выбору тестового решения основан на использовании стоимостной модели для расчета значений Сюю/ (J = \..N) для каждого из N альтернативных тестовых решений с учетом особенностей реализации конечного проекта и объема партии производства ИС.

Достоинство предлагаемого подхода - возможность сравнения стоимости рассматриваемых тестовых решений в условиях неопределенности значений отдельных параметров модели, что является распространенной ситуацией на ранних стадиях проектирования, и выбора наиболее оптимального тестового решения для проектируемой смешанной схемы.

Критерий выбора оптимального тестового решения

Q=min(c,ai)V/ = l.JV (5)

позволяет определить к-ое решение менее затратным для реализации в проекте ИС с сохранением требуемых показателей покрываемости неисправностей и эффективности тестирования.

Выполнение неравенства (6) - условие экономической эффективности использования внешнего тестирования.

С on-chip > ^off-chip") ^

где Con-сыр ~ стоимость внутрисхемного тестирования и С0^р - стоимость внешнего тестирования, рассчитанные по (4).

Выполнение неравенства (7) - условие экономической эффективности использования внутрисхемного тестирования.

Сon-chip < С off-Chip'

Для снижения стоимости кристалла ИС важно увеличивать число производимых ИС без повышения затрат. Один из способов достижения этого - оптимальное размещение кристаллов на пластине, что может обеспечить увеличение количества реализуемых на одной полупроводниковой пластине кристаллов ИС. Для этих целей разработан и описан итерационный алгоритм, обеспечивающий поиск оптимального размещения кристаллов на восьми- или двенадцатидюймовой кремниевой пластине по критерию максимума числа производимых кристаллов на одной пластине (CPW -chips per wafer) и наибольшего удаления от запрещенной области от кромки. Результаты работы алгоритма - координаты опорной точки (х„, у'0), соответствующей оптимальному решению и количество кристаллов CPW.

Заложенный в алгоритме механизм виртуального разделения пластины на шесть областей позволяет решать задачу параллельно, используя вычислительные мощности многоядерных процессоров. Предложенный алгоритм реализован в виде пакета прикладных программ. Апробация алгоритма выполнялась на наборе ИС с различными геометрическими размерами, которые необходимо разместить на кремниевых пластинах диаметром восемь и двенадцать дюймов (200 мм и 300 мм соответственно).

Предложенный алгоритм обеспечивает увеличение количества кристаллов ИС, которые можно разместить на пластине, в среднем на 1.5 -2 %. Такой показатель улучшает экономические показатели процесса производства интегральных схем особенно в случае больших партий. При этом повышается коэффициент использования кремниевой пластины и обеспечивается условие максимального приближения границ кристаллов к центру пластины

В четвертой главе предложена методика автоматизации тестопри-годного проектирования линейных и нелинейных аналоговых схем с использованием метода реконфигурирования оригинальной схемы в автогенератор (OB 1ST- Oscillation BIST), включающая следующие стадии:

1. Анализ устойчивости схемы.

2. Выбор подсхемы реконфигурирования в автогенератор.

3. Оценка длительности переходных процессов в реконфигурирован-ной схеме.

4. Расчет (оценка) частоты автоколебаний при номинальных значе-

ниях параметров внутренних компонентов исходной схемы.

5. Оценка диапазона изменения частоты автоколебаний с учетом допусков на параметры внутренних компонентов исходной схемы с применением метода Монте-Карло.

6. Оценка покрытия неисправностей на моделях.

7. Измерение частоты самовозбуждения тестируемой схемы.

8. Анализ на попадание измеренной частоты в диапазон допустимых значений и принятие решения о работоспособности схемы.

Определены условия применимости данного метода и правила выбора схемы реконфигурирования в автогенератор с использованием различных структурных решений: разрыв внутренних цепей электронными ключами, формирование подключаемыми ключами необходимых значений коэффициента усиления активного нелинейного элемента и включение дополнительной обратной связи.

Для обеспечения незатухания колебаний автогенератора, необходимо выбрать такое решение по реконфигурированию оригинальной схемы, чтобы добротность принимала достаточно большое значение, при этом величина резонансной частоты не изменялась, т.е.

где р - множество параметров внутренних компонентов схемы, изменяющихся в режиме тестирования, р — множество параметров внутренних компонентов схемы.

Представлен способ оценки покрытия неисправностей на моделях. По результатам моделирования исправной схемы и схемы с неисправностями формируют таблицу неисправностей, содержащую признак прохождения теста. При моделировании использован метод Монте-Карло, позволяющий учитывать отклонения параметров внутренних компонентов от номинальных значений, что обеспечивает адекватность моделей реальным полупроводниковым структурам.

В общем случае моделирование проводят для заданного набора неисправностей — КБ, в число которых включают катастрофические и параметрические неисправности,

Б^гесогер,

где ЕБс - подмножество катастрофических неисправностей, ГОр - подмножество параметрических неисправностей.

Подмножество катастрофических неисправностей (FSc) является конечным, т.е.

FSc = {/fe,= N =2пс+п„+пр,

где N - количество рассматриваемых одиночных катастрофических неисправностей, пс - количество компонентов аналоговой подсхемы, п„ - количество узлов аналоговой подсхемы, Пр - количество внутренних проводных путей аналоговой подсхемы.

Подмножество катастрофических неисправностей включает неисправности типа обрыва цепи и короткого замыкания, возникающие на внутренних компонентах схемы, неисправности короткого замыкания внутренних узлов схемы и обрыва цепи внутренних проводных путей.

Подмножество всех параметрических неисправностей (FSp) - бесконечно. Поэтому его ограничивают фиксированной выборкой неисправностей, полученных в результате отклонения параметров внутренних компонентов от их номинальных значений на величину, расположенную вне допустимого диапазона и не обеспечивающую эффект катастрофической неисправности.

На основе значений измеренного контролируемого параметра - частоты автоколебаний foscj> полученных в результате моделирования

оригинальной схемы и схемы с неисправностями, формируют таблицу неисправностей (FT), каждая /-я строка которой включает два поля - наименование заданной неисправности из FS (Д с FS) и признак р„ определяемый следующим образом:

Го, если fose, с [fosc^fosc 1 Р' jl, если foscj ^[/о™'/обозначения таблицы неисправностей используют для вычисления покрываемое™ неисправностей (FC)

FC = fJpl/N; Af = |FS|, <=i

где |FS¡ - мощность множества рассматриваемых неисправностей FS.

Проведены экспериментальные исследования предложенной методики на схемах активных фильтров. Моделирование неисправностей пока-

-20-

зало, что предложенное решение для рассмотренных примеров обеспечивает выявление до 100 % катастрофических неисправностей и свыше 70 % параметрических неисправностей, что не уступает методам функционального тестирования. При этом, позволяет отказаться от использования генераторов тестовых сигналов, сократить время тестирования за счет контроля одного параметра, обеспечивая тем самым снижение стоимостных затрат на тестирование аналоговой подсхемы.

Предложено структурное решение внутрисхемной реализации тестирования для смешанных ИС. Разработаны структурные и принципиальные схемы реализации тестирующей подсхемы. В качестве контролируемой величины вместо частоты автоколебаний предложено рассматривать соответствующий ей бинарный код. Данное решение позволяет использовать признак прохождения теста аналоговой подсхемы совместно с откликами цифровой подсхемы, обеспечивая комплексное тестирование смешанной ИС.

В пятой главе предложена методика реализации справочника неисправностей с использованием аппарата искусственных нейронных сетей, позволяющая устранить многие недостатки СН на основе параметрических таблиц. Формируемый в результате СН обеспечивает реализацию внешнего тестирования смешанных ИС. Описаны математические основы и механизм формирования нейросетевого справочника неисправностей. В качестве контролируемых параметров предложено использовать коэффициенты вейвлет-преобразования (ВП) переходной характеристики схемы в тестовых узлах. Вейвлет-преобразование выходного отклика тестируемой аналоговой схемы позволяет получить информацию обо всех его составляющих с различной степенью детализации. Если коэффициенты вейвлет преобразования выходных откликов тестируемой схемы не соответствуют коэффициентам исправной схемы, полученным в ходе моделирования в рамках тестопригодного проектирования, то проверяемую ИС признают неисправной, в противном случае - успешно прошедшей тест.

Представлен способ выбора типа материнского вейвлета, обеспечивающего максимальную различимость между коэффициентами ВП выходных откликов исправной и неисправной схемы. Точность сравнения и соответственно точность тестирования зависят от степени различимости между коэффициентами неисправной и исправной схемы. При оценке различимости важно учитывать влияние на поведение аналоговой схемы допусков на параметры ее внутренних компонентов.

В предлагаемом методе для выбора материнского вейвлета, обеспечивающего максимальную различимость между коэффициентами ВП выходных откликов исправной и неисправной схем, использована нормализованная Эвклидова метрика _^

о=Лх(с{-с«)/сГ)2, (8)

V Мм

где лг-количество используемых коэффициентов вейвлет преобразования; С/ - коэффициенты ВП исправной схемы; С{ - коэффициенты ВП неисправной схемы.

Критерием выбора типа материнского вейвлета, используемого для получения коэффициентов ВП, применяемых для обучения нейронной сети и последующего тестирования аналоговой схемы, является максимум Эвклидовой метрики (8)

тах{Д },ДсД/ = \.т, где Б - множество значений Эвклидовой метрики, рассчитанной при использовании различных материнских вейвлетов; О, - величина Эвклидовой метрики, рассчитанная при использовании /-го материнского вейвлета; т - количество рассмотренных материнских вейвлетов.

Представлена методика обучения нейронной сети для реализации справочника неисправностей, описанная следующей последовательностью операций:

1. Выбор тестовых частот и тестовых узлов с использованием анализа чувствительности.

2. Инициализация счетчика числа рассмотренных неисправностей

(/ = 0).

3. Инициализация количества итераций метода Монте-Карло при моделировании исправной схемы (Л^).

4. Инициализация количества итераций метода Монте-Карло при

моделировании неисправной схемы (М/).

5. Моделирование поведения исправной схемы во временной области методом Монте-Карло. / = »+ 1.

6. Выполнение вейвлет преобразования выходных временных откликов в тестовых узлах.

7. Формирование /-го входного вектора в котором коэффициенты вейвлет преобразования, полученные на предыдущем шаге, используются

-22-

в качестве х,, а значение у, равно 1, что соответствует статусу исправной схемы.

8. Если / < Щ, то переходим к шагу 5. В противном случае - к шагу 9.

9. Моделирование поведения неисправной схемы во временной области методом Монте-Карло, используя случайное включение параметрических и катастрофический неисправностей. /' = /'+ 1.

10. Выполнение вейвлет преобразования выходных временных откликов в тестовых узлах.

11. Формирование /-го входного вектора^, в котором коэффициенты вейвлет преобразования, полученные на предыдущем шаге, используются в качестве х„ а значение у, равно 0, что соответствует статусу неисправной схемы.

12. Если I < А'// + А/, то переходим к шагу 9. В противном случае - к шагу 13.

13. Повторить шаги с 5 по 12 для каждой тестовой частоты.

14. Обучение нейронной сети с использованием Щвходных векторов.

15. Применение обученной нейронной сети для тестирования аналоговой схемы.

Единожды обученная таким образом нейронная сеть может быть использована для выполнения диагностики аналоговых и смешанных схем, позволяя выявлять также те неисправности, выходные отклики схемы для которых не были использованы в процессе обучения.

Приведены примеры для активных фильтров по использованию нейросетевого справочника неисправностей (СН) для тестирования и диагностики неисправностей аналоговой подсхемы. Показана возможность применения предложенной методики для построения СН, включающего отклики аналоговой и цифровой подсхем, для смешанной схемы. Полученные в ходе экспериментальных исследований результаты показали высокую эффективность применения нейросетевого СН для тестирования и диагностики неисправностей, обеспечивая выявление свыше 99 % катастрофических неисправностей и свыше 75 % параметрических неисправностей. Данные результаты не уступают результатам тестирования с привлечением методов на основе параметрических таблиц. Применение предложенной методики позволяет сократить временные затраты на построение СН за счет уменьшения числа итераций метода Монте-Карло при моделировании неисправ-

ностей; при представлении в справочнике более 175 неисправностей - в разы сократить объем дискового пространства, необходимый для хранения нейросетевого СН, по сравнению с параметрическими таблицами.

Д шестой главе представлены модели структурных решений внутрисхемного тестирования аналоговых и цифровых подсхем MSIC, оформленные в виде библиотеки.

В библиотеку включены элементы, обеспечивающие доступ к внутренним узлам схемы с целью повышения их управляемости и наблюдаемости, внутрисхемное формирование тестовых наборов и анализ полученных выходных откликов. Принципиальные схемы элементов реализованы в Sp/ce-подобном формате, пригодном для использования в стандартных коммерческих САПР схемотехнического проектирования.

Поведенческие описания выполнены на языке описания аппаратуры VHDL, что обеспечивает возможность их подключения в проекты стандартными средствами САПР ПЛИС и САПР сквозного проектирования

интегральных схем.

Для аналоговых подсхем предложено использовать коммутирующие подсхемы на основе мультиплексоров, повышающие наблюдаемость и управляемость внутренних узлов, а также подсхемы встроенного самотестирования с реконфигурированием в автогенератор. Для цифровых подсхем предложены методы внешнего тестирования и внутрисхемного тестирования на основе встроенного самотестирования (LSFR, MISR и BILBO), схем мультиплексирования внутренних узлов (MUX) и сканирующих цепей (Scan). Библиотека включает поведенческие, структурные и физические модели тестирующих подсхем, представленные на уровне регистровых передач и схемном уровне в виде аппаратно-зависимых поведенческих описаний, принципиальных и структурных схем, топологий.

Библиотека организована по иерархическому принципу с использованием древовидной структуры. Библиотечные компоненты и тестирующие подсхемы описаны в виде многократно используемых ядер, что позволяет их применять не только в рамках предложенной в диссертационной работе методологии тестопригодного проектирования смешанных ИС, но и при проектировании ИС в коммерческих САПР. Открытая модульная архитектура библиотеки обеспечивает условия для ее расширения за счет включения дополнительных тестирующих подсхем.

В седьмой главе представлены экспериментальные результаты использования предложенной методологии тестопригодного проектирования смешанных ИС на примере схемы двухканального аналого-цифрового кодека звуковых частот АДИКМ (0.726).

В заключении приведены основные результаты работы.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

Полученные результаты диссертационной работы, обеспечившие разработку и развитие математического, методического, информационного и программного обеспечения подсистемы САПР тестопригодного проектирования смешанных ИС, состоят в следующем:

1. Разработана методология автоматизации тестопригодного проектирования смешанных ИС, обеспечивающая формирование тестов и выбор тестовых механизмов одновременно для цифровой и аналоговой подсхем в рамках сквозного маршрута проектирования смешанной ИС.

2. Предложен подход к выбору тестового решения для аналоговых и смешанных ИС, основанный на модели оценки стоимостных затрат на организацию и проведение тестирования.

3. Разработана стоимостная модель оценки затрат на процесс производства ИС с выполнением тестирования на разных стадиях реализации -до корпусирования и/или после корпусирования ИС.

4. Разработана стоимостная модель оценки затрат на организацию и проведение тестирования смешанных ИС, учитывающая особенности применяемой интегральной технологии и специфику проектируемого устройства.

5. Разработан алгоритм оптимального размещения кристаллов ИС на кремниевой пластине, обеспечивающий наибольшее удаление от запрещенной границы от кромки.

6. Реализована методика автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем, основанная на реконфигурировании оригинальной схемы в автогенератор. Предложен механизм проведения внутрисхемного тестирования аналоговых подсхем совместно с цифровыми подсхемами смешанной ИС.

7. Разработана методика реализации справочника неисправностей для аналоговой и цифровой подсхем на основе искусственной нейронной сети для проведения функционального тестирования. Полученный спра-

-25-

вочник неисправностей обеспечивает решение комплексной задачи тестирования и диагностики неисправностей смешанной ИС.

8. Реализованы поведенческие, структурные и физические модели структурных решений внутрисхемного тестирования аналоговых и цифровых подсхем смешанной ИС, обеспечивающие простоту и эффективность их включения в проект в рамках предложенной методологии тестопригод-ного проектирования смешанных ИС. Разработана библиотека тестирующих компонентов и подсхем.

ПУБЛИКАЦИИ ПО РАБОТЕ

Монографии и пособия

1. Ланцов, В. Н. Современные подходы к проектированию и тестированию интегральных микросхем [Текст] : монография / В. Н. Ланцов, С. Г. Мосин. - Владимир : Изд-во Владим. гос. ун-та, 2010.-285 с. -ISBN 978-5-9984-0120-6.

2. Мосин, С. Г. Тестопригодное проектирование ИС и электронных устройств [Текст] : учеб. пособие / С. Г. Мосин - Владимир : Изд-во Владим. гос. ун-та, 2009.-228 с. - ISBN 978-5-89368-927-3.

3. Mosin, S. G. Handbook of Testing Electronic Systems. Chapter 6: Analog Test and Diagnosis [Text] / S.G. Mosin. - Czech Technical University Publishing House, 2005. -pp. 302-331. -ISBN 80-01-03318-X.

Статьи в отечественных изданиях, рекомендованных ВАК

4. Мосин, С. Г. Подсистема САПР тестопригодного проектирования аналоговых схем [Текст] / С. Г. Мосин // Изв. вузов. Электроника. - 2002. - № 3. - С. 67 -73.-ISSN-1561-5405.

5. Мосин, С. Г. Маршрут проектирования цифровых ЗИС в САПР Mentor Graphics [Текст] / С. Г. Мосин, В. С. Кухарук, С. В. Федоров // Проектирование и технология электронных средств. -2006. - № 1. - С. 9-12. - ISSN 2071-9809.

6. Мосин, С. Г. Анализ методов тестопригодного проектирования аналоговых и смешанных ИС [Текст] / С. Г. Мосин // Изв. вузов. Электроника. - 2007. - № 1. -С. 59-64.

7. Мосин, С. Г. Анализ методов встроенного самотестирования аналоговых и смешанных интеграчьных схем [Текст] / С. Г. Мосин // Изв. вузов. Электроника. -

2007. - № 2. - С. 85-90. - ISSN - 1561-5405.

8. Мосин, С. Г. Структурные решения тестопригодного проектирования заказных интегральных схем [Текст] / С. Г. Мосин // Информационные технологии. -

2008. № 11. - С. 2-10. - ISSN -1684-6400.

9. Мосин, С. Г. Современные тенденции и технологии проектирования интегральных схем [Текст] / С. Г. Мосин // Информационные технологии. - 2009. № 1. -С. 28-33. - ISSN - 1684-6400.

10. Мосин, С. Г. Алгоритм размещения кристаллов интегральных схем на кремниевой пластине [Текст] / С. Г. Мосин // Вестник Нижегородского университета им. Н.И. Лобачевского. Серия Математическое моделирование и оптимальное управление. - 2010. № 1.-С. 190-195.-ISSN-1993-1778.

11. Мосин, С. Г. Модель выбора оптимальной тестовой стратегии и условий тестирования ИС в процессе производства [Текст] / С. Г. Мосин // Приборы и системы. Управление, контроль, диагностика. - 2010. № 1. - С. 8-12. - ISSN - 2073-0004.

12. Мосин, С. Г. Маршрут тестопригодного проектирования электронных устройств в САПР компании Mentor Graphics [Текст] / С. Г. Мосин // Программные продукты и системы. - 2010. № 1. - С. 65-68. -ISSN - 0236-235Х.

13. Мосин, С. Г. Маршрут тестопригодного проектирования заказных интегральных схем [Текст] / С. Г. Мосин // Проектирование и технология электронных средств. - 2010. -№ 4. - С. 39-44. - ISSN 2071-9809.

14. Мосин, С. Г. Исследование модели выбора оптимальной тестовой стратегии для смешанных интегральных схем [Текст] / С. Г. Мосин // Вестник компьютерных и информационных технологий. - 2011. № 6. - С. 24-28. - ISSN - 1810-7206.

15. Мосин, С. Г. Методика тестопригодного проектирования аналого-цифровых схем [Текст] / С. Г. Мосин // Известия высших учебных заведений. Приборостроение.-2012. Т. 55. № 5. — С. 19-23.-ISSN-0021-3454.

16. Мосин, С. Г. Тестирование аналоговых схем с использованием нейросете-вого сигнатурного анализатора [Текст] / С. Г. Мосин // Вестник информационных и компьютерных технологий. - 2012. № 10. - С. 3-8. - ISSN - 1810-7206.

17. Мосин, С. Г., О генерации диагностических тестов на основе таблиц трассировок [Текст] / С. Г. Мосин, А. А. Кряжев // Вестник Новосибирского государственного университета. Серия: Информационные технологии. - 2012. Том 10. Выпуск 4.-С. 57-62.-ISSN- 1818-7900.

18. Мосин, С. Г. Подход к выбору метода тестирования смешанных интегральных схем на основе стоимостной модели [Текст] / С. Г. Мосин // Управление большими системами. Выпуск 41. М.: ИПУ РАН. - 2013,- С. 344-356. - ISSN 18192440.

19. Мосин, С. Г. Структурное решение встроенного самотестирования аналоговых и смешанных ИС на основе реконфигурирования [Текст] / С. Г. Мосин // Промышленные АСУ и контроллеры. -2013. № 3. - С. 30-34. -ISSN - 1561-1531.

Статьи в зарубежных рецензируемых изданиях

20. Mosin, S.G. Introduction to analog circuits testing and diagnosis [Text]/

S. G. Mosin // Автоматизированные системы управления и приборы автоматики. Всеукраинский межведомственный научно-технический сборник. Выпуск 122,

Харьков, 2003. - С. 104 -119.

21. Мосин, С. Г. Подходы тесгопригодного проектирования аналоговых интегральных схем [Текст] / С. Г. Мосин II Радиоэлектроника и информатика. - 2003. -

№ 1. С. 49 -59. - ISSN 1563-0064.

22. Mosin, S. G. A Built-in Self-Test Circuitry Based on Reconfiguration for Analog and Mixed-Signal 1С [Text] / S. G. Mosin // Information Technology and Control. -2011 Vol. 40.No. 3.-P. 260-264.-ISSN 1392-124X.

23. Mosin, S. A New Opportunity of Using Sensitivity Function for Functional Testing [Text] / S. Mosin, V. Lantsov // 2nd Electronic Circuits and Systems Conference (ECS'01) : Conference Proceedings. - Slovakia: Bratislava, 2001.

24. Mosin, S. G. Behavioral description of electronic devices and its implementation in VHDL-AMS [Text] / S. G. Mosin, M. A. Trofimov, V. N. Lantsov // 8th Biennial Conf. on Electronics and Microsystems Technology (Baltic Electronics Conf.): Conference Proceedings. -Tallinn : Tallinn Techn. Univ., 2002. -P. 211-214. - ISBN 9985-59292-1. .

25. Mosin, S. G. Educational purpose CAD tool for testing and diagnosis of analog

circuits: fault simulation [Text] / S. G. Mosin // Proc. of East-West Design and Test Conference. - Crimea, Ukraine, 2003. - P. 87 - 90.

26 Mosin, S. G. The Realization of Algorithmic Description on VHDL-AMS [Text] / S. G. Mosin, M. A. Trofimov // Proc. of Int. Conf. TCSET'04 : Conference Proceedings. - Slavsko, Ukraine, 2004. - P. 350-353. -ISBN 966-553-380-0.

27. Mosin, S. G. The Tool for Training in the Area of Analogue Circuits Test and Diagnosis [Text] / S. G. Mosin // 11th Int. Conf. Mixed Design of Integrated Circuits and Systems : Conference Proceedings. - Szczecin, Poland, 2004. - P. 511-516. - ISBN 83919289-7-7.

28. Mosin, S. G. TeDiAC: the CAD Tool for Studying Approaches to Test and Diagnosis of Analogue Circuits [Text] / S. G. Mosin // Proc. of 9th Biennial Conference on Electronics and Microsystems Technology. Baltic Electronic Conference - BEC2004.

October 7-10, 2004, Tallinn, Estonia, 4 p.

29. Mosin, S. G. Neural Network-based Signature Classifier for Functional Testing of Analogue Circuits [Text] / S. G. Mosin // Proc. of 8th Conference the Experience of Designing and Application of CAD System in Microelectronics - CADSM'05, Lviv -

Polyana, Ukraine, 2005. - P. 380-382.

30. Mosin, S. G. Extraction of Essential Characteristics of Analog Circuits' Output Responses Required for Signature Analysis [Text] / S. G. Mosin II Proc. of IEEE East-West Design and Test Workshop (EWDTW'2005). - Odessa, Ukraine, 2005. - P. 269 -270.

31. Mosin, S. G. Functional Testing of Analog Circuits Using Neural Network-Based Signature Analyser [Text] / S. G. Mosin // In Proc. 2nd International Radio Electronic Forum, Vol. Ill: Proc. of Intern. Conference Information Systems and Technologies (ICIST'2005). - Kharkov, Ukraine, 2005. - P. III-236 -III-239.

32. Mosin, S. G. A Neural Network-Based Functional Test Analyser for Analogue and Mixed-Signal Circuits [Text] / S. G. Mosin // In Proc. of 6th Electronic Circuits and Systems Conference (ECS'05). - Slovakia: Bratislava, 2005. - 4 p

33. Mosin, S. G. An Approach to Analogue Circuits Test Based on Application of Neural Network and Wavelet Decomposition [Text] / S. G. Mosin // In Proc. of International Conference "Electronics'05", Sozopol, Bulgaria, 2005. - 6 p.

34. Mosin, S. G. A Neural Network Approach to Functional Test of Analogue Circuits [Text] / S. G. Mosin // Proc. of 12th Int. Conf. Mixed Design of Integrated Circuits and Systems (MIXDES'2005). - Poland: Krakow, 2005. - P. 391 -396.

35. Mosin, S. G. Neural Network-Based Technique for Detecting Catastrophic and Parametric Faults in Analog Circuits [Text] / S. G. Mosin // Proc. of IEEE 18th International Conference on System Engineering (ICSEng'2005). - Las Vegas, Nevada, USA, 2005. - pp. 224 - 229. - ISBN 0-7695-2359-5.

36. Mosin, S. G. Transient Functional Test of Analogue Circuits [Text] / S. G. Mosin // IEEE 10th European Test Symposium Informal Digest of Papers - ETS'05 : Symposium Proceedings. - Estonia: Tallinn, 2005. - P. 39-44.

37. Mosin, S. G. Design Flow of Custom Integrated Circuits Using Mentor Graphics CAD Tools [Text] / S. Mosin, V. Kuharuk // International Conference «Elec-tronics'06>>: Conference Proceedings. - Sozopol, Bulgaria, 2006. - 6 p. - ISBN 954-438565-7.

38. Mosin, S. G. Economics Modeling the DFT of Mixed-Signal Circuits [Text] / S. G. Mosin // Proc. of IEEE East-West Design and Test Workshop (EWDTW'2006). -Sochi, Russia, 2006. - P. 236 - 238. -ISBN 966-659-124-3.

39. Mosin, S. G. Selecting the Most Efficient DFT Techniques of Mixed-Signal Circuits Based on Economics Modeling [Text] / S. G. Mosin // Proc. of IEEE East-West Design and Test Symposium (EWDTS'2007). - Yerevan, Armenia, 2007. -P. 158-161.

40. Mosin, S. G. The Features of Integrated Technologies Development in Area of ASIC Design [Text] / S. G. Mosin // Proc. of 9th Conference the Experience of Designing and Application of CAD System in Microelectronics - CADSM'07, Lviv - Polyana, Ukraine, 2007. - P. 292 - 295. - ISBN 966-533-587-0.

41. Mosin, S. G. The Mode! of Selecting Optimal Test Strategy and Conditions of ICs Testing During Manufacturing [Text] / S. G. Mosin // Proc. of IEEE East-West Design and Test Symposium (EWDTS'2009). - Moscow, Russia, 2009. - P. 54 - 58.

42. Mosin, S. Technique of Optimal Built-in Self-Test Circuitries Generation [Text] / S. G. Mosin, N. V. Chebykina // Proc. of IEEE East-West Design and Test Sym-

posium (EWDTS'2010). - St.-Peterburg, Russia, 2010. - P. 145 - 148. - ISBN 978-14244-9555-9.

43. Mosin, S. Structural solution of reconfiguration based built-in self-test for analog and mixed-signal 1С [Text] / S. G. Mosin // In Proc. of 12th Biennial Baltic Electronics Conference (BEC'2010). Tallinn, October, 2010, pp. 141 - 144. - ISBN 978-1-42447357-1.

44. Mosin, S. G. Technique of LFSR Based Test Generator Synthesis for Deterministic and Pseudorandom Testing [Text] / S. G. Mosin, N. V. Chebykina, M. S. Serina // Proc. of 11th Conference the Experience of Designing and Application of CAD System in Microelectronics - CADSM'll, Polyana-Svalyava, Ukraine, 2011. - P. 128- 131.-

ISBN 978-1-4577-0042-2.

45. Mosin, S. A Subsystem for Automated Synthesis of LFSR-Based Test Generator for Deterministic and Pseudorandom Testing [Text] / S. G. Mosin, N. V. Chebykina, M. S. Serina // Proc. of IEEE East-West Design and Test Symposium (EWDTS'2011). -

Sevastopol, Ukraine, 2011. -P. 177 -179.

46. Lantsov, V. N. Signature Analyzer and Classifier for Analog Circuits Diagnosis Based on Neural Network [Text] / V. N. Lantsov, О. V. Rudakov, S. G. Mosin // Proc. of 5th Int. Conf. Mixed Design of Integrated Circuits and Systems. - Poland: Lodz, 1998. -P. 345 - 348.

Свидетельства о регистрации программ для ЭВМ

47. Мосин, С.Г. Подсистема расчета тестопригодносги аналоговых схем. -Свидетельство о государственной регистрации программы для ЭВМ

№2012610219-М.: Роспатент, 2012.

48. Мосин, С.Г. Система схемотехнического проектирования аналоговых схем. - Свидетельство о государственной регистрации программы для ЭВМ №

2012610220- М.: Роспатент, 2012.

49. Мосин. С.Г. Система выбора оптимальной тестовой стратегии и условий тестирования интегральных схем. - Свидетельство о государственной регистрации программы для ЭВМ № 2012661333 - М.: Роспатент, 2012.

Статьи в журналах, сборниках и трудах конференций

50. Мосин, С. Г. Методика мультичастотной функциональной диагностики аналоговых и смешанных интегральных микросхем [Текст] / С. Г. Мосин,

B. Н. Ланцов // Алгоритмы, методы и системы обработки данных. - 2000. № 5. -

C. 160-168.-ISSN-2220-4229.

51. Mosin, S. G. The CAD Tool for Studying of Approaches to Analog Circuits Testing and Diagnosis [Text] / S. G. Mosin // Вестник ТГУ. Приложение. - 2004. - № 9 (I).-С. 168-173.

52. Мосин, С. Г. Выбор метода тестирования смешанных интегральных схем на основе экономической модели [Текст] / С. Г. Мосин // Вестник Костромского госу-

дарственного университета им. H.A. Некрасова. - 2008. Том 14. № 2. - С. 29 - 32.

53. Мосин, С. Г. Использование технологических библиотек в САПР компании Mentor Graphics при проектировании заказных ИС [Текст] / С. Г. Мосин, В. С. Ку-харук // Труды ВлГУ. - 2006. - № 1. - С. 81-85. - ISBN 5-89368-709-4.

54. Мосин, С. Г. Тестопригодное проектирование аналоговых и смешанных интегральных схем [Текст] / С. Г. Мосин // Современные информационные технологии. Информационно-измерительные и диагностические системы: Тр. междунар. науч.-техн. конф. - Пенза: Пензенский технологический ин-т, 2000.-С. 61 -63.

55. Мосин, С. Г. Диагностика неисправностей в аналоговых ИС [Текст] / С. Г. Мосин // Теория, методы и средства измерений, контроля и диагностики: Тр. междунар. науч.-практ. конф. - Новочеркасск: Южно-Российский гос. техн. ун-т, 2000.-С. 7-8.

56. Мосин, С. Г. Разработка подсистем САПР РЭА в среде MATLAB [Текст] / С. Г. Мосин // Проектирование научных и инженерных приложений в среде MATLAB: Тексты докладов Всероссийской научной конференции. - Москва: ИПУ РАН, 2002. - С. 270 - 275.

57. Мосин, С. Г. Метод включающего выбора тестовых узлов в аналоговых схемах [Текст] / С. Г. Мосин // Новые методологии проектирования изделий микроэлектроники: Материалы Международной научно-технической Web-конференции. - Владимир: ВлГУ, 2002. С. 55-58.

58. Мосин, С. Г. Тестопригодное проектирование электронных устройств [Текст] / С. Г. Мосин // Новые методологии проектирования изделий микроэлектроники: Материалы 2-ой Международной научно-технической Web-конференции. - Владимир: ВлГУ, 2003. - С. 134-136.

59. Мосин, С. Г. Обучающая подсистема САПР тестопригодного проектирования аналоговых схем [Текст] / С. Г. Мосин // Проектирование научных и инженерных приложений в среде MATLAB: Труды Всероссийской научной конференции. - Москва: ИПУ РАН, 2004. - С. 245 - 261.

60. Мосин, С. Г. Тестирование аналоговых схем на основе нейронных сетей и вейвлет-преобразования [Текст] / С. Г. Мосин // Новые методологии проектирования изделий микроэлектроники: Материалы 3-й Международной науч.-техн. Web-конференции. - Владимир: ВлГУ, 2004. - С. 199 - 204.

61. Мосин, С. Г. Функциональное тестирование аналоговых схем: анализ выходных откликов [Текст] / С. Г. Мосин // Перспективные технологии в средствах передачи информации - ПТСПИ'2005: Материалы 6-й Международной науч.-техн. конференции. - Владимир: ТОСТ, 2005. - С. 307 - 309.

62. Мосин, С. Г. Функциональное тестирование аналоговых схем: выбор контролируемых параметров [Текст] / С. Г. Мосин // Перспективные технологии в

средствах передачи информации - ПТСПИ'2005: Материалы 6-й Международной научно-технической конференции. — Владимир: РОСТ, 2005. - С. 319 - 320.

63. Мосин, С. Г. Нейросетевой сигнатурный анализатор откликов аналоговых схем [Текст] / С. Г. Мосин // Электронная техника: Межвузовский сборник научных трудов / Под редакцией Д. В. Андреева. Ульяновск: УлГТУ, 2005, с. 81 - 88,-ISBN 5-89146-726-7.

64. Мосин, С. Г. Подход к тестированию аналоговых и смешанных ИС на основе реконфигурирования [Текст] / С. Г. Мосин // Физика и радиоэлектроника в медицине и экологии (ФРЭМЭ'2010). Труды 9-й международной научн.-техн. конференции с элем, научн. молодежной школы. - Владимир, 29 июня-2 июля, 2010. С. 349-352. - ISBN 978-5-904875-03-9.

65. Мосин, С. Г. Реализация внутрисхемного тестирования интегральных схем на основе реконфигурирования [Текст] / С. Г. Мосин // Материалы международной научно-технической конференции «Актуальные проблемы электронного приборостроения (АПЭП-2010)», Саратов, 22-23 сентября, 2010. - С. 379-382. -ISBN 978-5-9999-0531-4.

66. Mosin, S. G. Educational purpose CAD Tool for Training in the Area of Analogue Circuits Testing and Diagnosis [Text] / S. G. Mosin // in Proc. of Conference "Informatics, Mathematical Modelling and Design in the technics, controlling and education" (IMMD'2004). - Vladimir, 2004. - P. 202- 207.

Подписано в печать 21.03.13 Формат 60x84/16. Бумага для множит, техники. Гарнитура Тайме. Печать на ризографе. Усл. печ. л. 1,86. Тираж 100 экз. Издательство Владимирского государственного университета имени Александра Григорьевича и Николая Григорьевича Столетовых 600000, Владимир, ул. Горького, 87.

Текст работы Мосин, Сергей Геннадьевич, диссертация по теме Системы автоматизации проектирования (по отраслям)

ВЛАДИМИРСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ИМЕНИ АЛЕКСАНДРА ГРИГОРЬЕВИЧА И НИКОЛАЯ ГРИГОРЬЕВИЧА

СТОЛЕТОВЫХ

На правах рукописи

05201151303

МОСИН СЕРГЕЙ ГЕННАДЬЕВИЧ

МЕТОДЫ И СРЕДСТВА АВТОМАТИЗАЦИИ ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ СМЕШАННЫХ ИНТЕГРАЛЬНЫХ СХЕМ

05.13.12 - «Системы автоматизации проектирования»

(промышленность)

ДИССЕРТАЦИЯ на соискание ученой степени доктора технических наук

Научный консультант: д.т.н., профессор В. Н. Ланцов

Владимир 2013

ОГЛАВЛЕНИЕ

ВВЕДЕНИЕ..............................................................................................................7

ГЛАВА 1. СОСТОЯНИЕ В ОБЛАСТИ ИНТЕГРАЛЬНЫХ ТЕХНОЛОГИЙ, МЕТОДОВ ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ И СРЕДСТВ САПР. ПОСТАНОВКА ЗАДАЧ ИССЛЕДОВАНИЙ................................................................................................20

1.1. Современные тенденции и технологии

проектирования ИС......................................................................................20

1.2. Тестопригодное проектирование

интегральных схем......................................................................................32

1.3. Маршруты тестопригодного проектирования в САПР ИС............42

1.3.1. Маршрут тестопригодного проектирования ИС

в САПР компании Mentor Graphics ........................................46

1.3.2. Маршрут тестопригодного проектирования ИС

в САПР компании Cadence......................................................53

1.3.3. Средства тестопригодного проектирования

в САПР компании Synopsys .....................................................55

1.3.4. Сравнение возможностей тестопригодного проектирования ИС в коммерческих САПР ..........................60

1.4. Цель и постановка задач исследований.........................................62

1.5. Выводы...................................................................................................66

ГЛАВА 2. МЕТОДОЛОГИЯ ТЕСТОПРИГОДНОГО

ПРОЕКТИРОВАНИЯ СМЕШАННЫХ ИС.........................................................67

2.1. Общие положения методологии тестопригодного проектирования

смешанных интегральных схем...............................................................67

2.2. Организация процесса моделирования...........................................71

2.2.1. Анализ чувствительности.........................................................72

2.2.2. Моделирование неисправностей..............................................74

2.2.3. Анализ тестопригодности.......................................................108

2.3. Процесс формирования тестов.........................................................130

2.3.1. Выбор контролируемых параметров ....................................130

2.3.2. Выбор тестовых узлов............................................................131

2.3.3. Выбор тестовых воздействий для аналоговой схемы.........136

2.3.4. Формирование тестовых наборов для цифровой

схемы.........................................................................................137

2.3.5. Построение справочника неисправностей............................139

2.3.6. Оценка покрываемое™ неисправностей...............................146

2.4. Процесс формирования тестирующих подсхем...........................147

2.5. Процесс принятия решения при выборе тестирующей структуры.....................................................................................................147

2.6. Реализация тестопригодного проектирования

смешанных ИС...........................................................................................150

2.5. Выводы..................................................................................................152

ГЛАВА 3. МАТЕМАТИЧЕСКИЕ МОДЕЛИ И ПОДХОД

К ВЫБОРУ ТЕСТОВОЙ СТРАТЕГИИ И СТРУКТУРНЫХ

РЕШЕНИЙ ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ............................155

3.1. Стоимостная модель оценки затрат на процесс

производства ИС и критерии выбора оптимальной тестовой

стратегии......................................................................................................155

3.2. Стоимостная модель оценки затрат

на организацию и проведение тестирования смешанных ИС и подход к выбору тестовой структуры.................................................167

3.2.1. Модель оценки стоимости тестирования

смешанных ИС ........................................................................169

3.2.2. Подход к выбору тестового решения

для смешанных ИС..................................................................174

3.2.3. Экспериментальные исследования........................................176

3.3. Алгоритм оптимального размещения кристаллов ИС

на пластине.................................................................................................179

3.4. Выводы..................................................................................................189

ГЛАВА 4. ВСТРОЕННОЕ САМОТЕСТИРОВАНИЕ АНАЛОГОВЫХ СХЕМ С РЕКОНФИГУРИРОВАНИЕМ В АВТОГЕНЕРАТОР...........................................................................................191

4.1. Анализ устойчивости схемы............................................................193

4.2. Выбор подсхемы реконфигурирования в автогенератор.............195

4.3. Оценка длительности переходных процессов в схеме................207

4.4. Расчет частоты автоколебаний при номинальных

значениях параметров внутренних компонентов

оригинальной схемы.................................................................................209

4.5. Оценка диапазона изменения частоты автоколебаний с учетом допусков на параметры внутренних компонентов

оригинальной схемы.................................................................................210

4.6. Оценка покрытия неисправностей на моделях............................212

4.7. Измерение частоты самовозбуждения тестируемой схемы, анализ на попадание измеренной частоты

в диапазон допустимых значений и принятие решения о работоспособности схемы....................................................................215

4.8. Экспериментальные исследования.................................................215

4.9. Структурное решение OBISTj\№ смешанных ИС..........................219

4.10. Выводы................................................................................................231

ГЛАВА 5. МЕТОДИКА РЕАЛИЗАЦИИ СПРАВОЧНИКА

НЕИСПРАВНОСТИ НА ОСНОВЕ ИСКУССТВЕННОЙ

НЕЙРОННОЙ СЕТИ...........................................................................................233

5.1. Математические основы построения нейросетевого справочника неисправностей и выбора контролируемых параметров ..................................................................................................233

5.2. Методика обучения нейронной сети...............................................240

5.3. Экспериментальные исследования.................................................244

5.4. Выводы..................................................................................................269

ГЛАВА 6. БИБЛИОТЕКА СТРУКТУРНЫХ РЕШЕНИЙ

ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ ИНТЕГРАЛЬНЫХ

СХЕМ ...................................................................................................................271

6.1. Структура и состав библиотеки........................................................271

6.2. Компоненты библиотеки для тестирования аналоговых подсхем........................................................................................................283

6.2.1. Аналоговый мультиплексор...................................................283

6.2.2. Подсхемы тестирования OBIST..............................................288

6.3. Компоненты библиотеки для тестирования цифровых подсхем........................................................................................................294

6.3.1. Цифровой мультиплексор MUX2:1.......................................294

6.3.2. Цифровой мультиплексор MUXnA.......................................297

6.3.3. Регистр с асинхронным сбросом и установкой....................298

6.3.4. Базовый LSSD-триггер............................................................300

6.3.5. Мультиплексируемый триггер .............................................306

6.3.6. Мультиплексируемый триггер с асинхронным

сбросом.....................................................................................306

6.3.7. Ячейка цифрового граничного сканирования

IEEE 1149.1 ..............................................................................307

6.3.8. Автономный сдвиговый регистр с линейными обратными связями (.ALFSR) .................................................312

6.3.9. Сдвиговый регистр с линейными обратными

связями.....................................................................................315

6.3.10. Мультиплексируемый сдвиговый регистр

с линейными обратными связями .........................................317

6.3.11. Многовходовый сдвиговый регистр

с линейными обратными связями (MISR).............................320

6.3.12. Внутрисхемный наблюдатель логических блоков

СBILBO).....................................................................................321

6.4. Выводы..................................................................................................326

ГЛАВА 7. ЭКСПЕРИМЕНТАЛЬНЫЕ РЕЗУЛЬТАТЫ

ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ СМЕШАННОЙ ИС .............328

7.1. Описание двухканального аналого-цифрового кодека звуковых частот.........................................................................................328

7.2. Тестопригодное проектирование аналоговой подсхемы...........332

7.3. Тестопригодное проектирование цифровой подсхемы...............343

7.4. Выводы..................................................................................................349

ЗАКЛЮЧЕНИЕ ...................................................................................................350

СПИСОК СОКРАЩЕНИЙ.................................................................................356

СПИСОК ЛИТЕРАТУРЫ....................................................................................359

СПИСОК ИЛЛЮСТРАТИВНОГО МАТЕРИАЛА..........................................375

ПРИЛОЖЕНИЕ А. ПОВЕДЕНЧЕСКИЕ И ФИЗИЧЕСКИЕ МОДЕЛИ КОМПОНЕНТОВ БИБЛИОТЕКИ СТРУКТУРНЫХ РЕШЕНИЙ ТЕСТОПРИГОДНОГО ПРОЕКТИРОВАНИЯ ИНТЕГРАЛЬНЫХ СХЕМ...................................................................................387

ПРИЛОЖЕНИЕ Б. АКТЫ ВНЕДРЕНИЯ РЕЗУЛЬТАТОВ ДИССЕРТАЦИОННОЙ РАБОТЫ.....................................................................413

ВВЕДЕНИЕ

В настоящее время электронная промышленность является наиболее динамично развивающейся отраслью. Во многом этому успеху способствует развитие интегральной технологии и решение многих проблем производственных процессов, а также использование развитых средств автоматизированного проектирования (САПР) микроэлектронной аппаратуры на этапе разработки проектных решений. Наибольший вклад в показатель роста микроэлектроники вносят проектирование и производство интегральных схем (ИС). При этом наметилась устойчивая тенденция к переходу от производства схем, реализующих стандартную логику, к заказным и полузаказным специализированным ИС {ASIC - Application Specific Integrated Circuit). Появление новых интегральных технологий стало определяющим фактором при разработке эффективной микроэлектронной аппаратуры (МЭА) для широкого спектра приложений в различных отраслях промышленности.

Одним из интенсивно развивающихся направлений в Л57С-технологии является проектирование и производство смешанных интегральных схем (MSIC - Mixed Signal Integrated Circuit), объединяющих на одном кристалле аналоговую и цифровую подсхемы [3], [13]. Динамика роста производства данного типа ИС составляет порядка 35% в год [88], [89]. Увеличение потребности в устройствах такого типа объясняется активным использованием механизмов цифровой обработки сигналов (ЦОС) во многих современных приложениях, таких как обработка аудио и видео данных, компьютерные и сетевые технологии, телекоммуникация, транспортная электроника и авиони-ка, биомедицинские приборы и др. Применение MSIC обусловлено необходимостью одновременной обработки аналоговых и цифровых сигналов в режиме реального времени для получения результатов высокого качества. При этом, используя смешанные схемы, удается избежать искажения сигналов на линиях соединения аналоговых и цифровых подсхем особенно при расширении частотных диапазонов работы электронных устройств.

Увеличение потребности в смешанных интегральных схемах привело к необходимости развития методов и средств проектирования устройств данного класса. Современный рынок программного обеспечения (ПО) предлагает множество систем автоматизированного проектирования (САПР), как правило, зарубежных, обеспечивающих сквозной цикл проектирования смешанных ИС [54-56]. Наиболее трудоемким и дорогостоящим этапом при реализации ИС является тестирование, которое позволяет обеспечить высокие требования по надежности и качеству функционирования проектируемых схем, установить соответствие выходных характеристик их спецификациям [2], [11], [69].

На тестовые мероприятия ИС приходится порядка 40-60 процентов от общего времени, требуемого на проектирование и реализацию устройства [88]. Это во многом связано с тем, что тестирование (верификация) проводится на каждом этапе процесса производства ИС, включая проверку кремниевых пластин, кристаллов и корпусированных устройств. При этом согласно «правилу десяти» стоимость обнаружения неисправного компонента в партии увеличивается десятикратно на каждом последующем уровне производственного цикла [89]. В смешанных ИС аналоговая подсхема занимает существенно меньшую площадь кристалла по сравнению с цифровой подсхемой. При этом следует отметить тенденцию снижения стоимостных затрат на тестирование цифровых подсхем и увеличения стоимости тестирования аналоговых подсхем.

Наиболее актуальным и широко исследуемым направлением современного автоматизированного проектирования ИС является получение и разработка методик, позволяющих еще в процессе проектирования устройства формировать для него сценарий тестовых мероприятий {DFT - Design for Testability) [128], [135]. Реализация данного подхода предусматривает использование внутри проекта тестирующих подсхем или, основываясь на результатах схемотехнического анализа, осуществление специального преобразования исходной схемы с целью повышения контролируемости ее параметров. Современные средства САПР (CADANCE, Mentor Graphics, Synopsis) широко применяют ТЖГ-подход для цифровых ИС, поскольку для данных схем суще-

ствуют эффективные модели неисправностей, средства автоматизированного формирования тестов и анализа выходных откликов, решения организации и проведения внутрисхемного тестирования, стандарты граничного сканирования {1ЕЕЕ 1149.1, 1149.6 и др.) [85], [86].

В области программного обеспечения для тестирования аналоговых и смешанных ИС наблюдается недостаток средств. Это объясняется во многом отсутствием универсальных методик тестирования аналоговых схем, а также сложностью сопряжения методов тестирования цифровых подсхем с существующими методами тестирования аналоговых подсхем смешанных ИС [92].

Аналоговые схемы с функциональной точки зрения являются более чувствительными к возникновению в них неисправности, чем цифровые. Во многом это объясняется влиянием дефектов на внутренние параметры схемы. И если для цифровых ИС небольшие отклонения параметров внутренних компонентов часто не влияют на выходные характеристики в силу ограниченного числа уровней сигнала, то для аналоговых схем малые отклонения могут привести к существенным изменениям выходных параметров. На сегодняшний день широко используемым и хорошо себя зарекомендовавшим способом тестирования М57С являются методы функционального тестирования. При реализации подходов данного метода решаются следующие задачи:

- выбор типа тестовых воздействий;

- выбор контролируемых параметров и узлов;

- формирование компактных наборов тестовых воздействий;

- верификация выходных откликов схемы на выбранные тестовые последовательности;

- анализ результатов и принятие решения об исправности или неисправности тестируемого устройства.

Привлечение подхода тестопригодного проектирования на ранних этапах разработки устройств позволяет использовать в полном объеме функциональные особенности ИС при формирования для них тестовых решений, что крайне важно при разработке высоконадежных заказных аналоговых и сме-

шанных интегральных схем. Включение тестирующих подсхем требует дополнительной площади кристалла и связано с определенными затратами. Однако тестирующие подсхемы позволяют обнаруживать неисправности и определять причины их появления на ранних стадиях процесса производства ИС, а также устранять их за счет внесения изменений в проект или технологический процесс, сокращая число итераций при прототипировании. Использование эффективного тестового решения позволяет при дополнительных затратах на его реализацию сократить общие затраты времени и средств на организацию и проведение тестирования производимых ИС.

Развитие средств автоматизации тестопригодного проектирования смешанных ИС, обеспечивающих в рамках сквозного маршрута проектирования выбор эффективных тестовых решений по критерию минимума стоимостных затрат и максимума покрываемости неисправностей, - актуальная проблема в области проектирования современных ИС.

Целью работы является совершенствование методов и средств автоматизации тестопригодного проектирования смешанных интегральных схем, представленных совокупностью моделей, подходов, алгоритмов и структурных решений.

Для достижения данной цели в работе ставятся и решаются следующие задачи, направленные на развитие математического, методического, информационного и программного обеспечения подсистемы тестопригодного проектирования САПР смешанных интегральных схем:

1. Анализ проблем тестопригодного проектирования смешанных ИС в системах автоматизированного проектирования.

2. Разработка методологии тестопригодного проектирования смешанных ИС, обеспечивающей формирование тестов и выбор тестовых механизмов одновременно для цифровой и аналоговой подсхем в рамках сквозного маршрута проектирования смешанной ИС.

3. Разработка подхода к выбору тестового решения для аналоговых и смешанных ИС, основанного на модели оценки стоимостных затрат на организацию и проведение тестирования.

4. Разработка моделей оценки стоимости тестирования и критериев выбора оптимальной тестовой стратегии и тестовой структуры для смешанных ИС.

5. Разработка методики автоматизированного проектирования тестирующих подсхем для встроенного самотестирования аналоговых подсхем, основанной на реконфигурировании в автогенератор.

6. Разработка методики реализации справочника неисправности на основе искусственной нейронной сети.

7. Разработка структурных решений внутрисхемного тестирования аналоговых и цифровых подсхем смешанной ИС.

Научн�