автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.05, диссертация на тему:Методология разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах

кандидата технических наук
Байда, Юрий Владимирович
город
Москва
год
2013
специальность ВАК РФ
05.13.05
Автореферат по информатике, вычислительной технике и управлению на тему «Методология разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах»

Автореферат диссертации по теме "Методология разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах"

УДК 004.318 На правах рукописи

Вайда Юрий Владимирович

Методология разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах

05.13.05 —Элементы и устройства вычислительной техники и систем управления

АВТОРЕФЕРАТ диссертации на соискание учёной степени кандидата технических наук

3 О МАЙ 2013

Москва 2013

005060054

005060054

Работа выполнена на кафедре микропроцессорных технологий Московского физико-технического института (государственного университета).

Научный руководитель: Бутузов Александр Валерьевич,

кандидат технических наук, руководитель подразделения по разработке микроархитектуры ЗАО «Интел А/О» Официальные оппоненты: Топорков Виктор Васильевич,

доктор технических наук, профессор, заведующий кафедрой вычислительной техники НИУ «Московский энергетический институт» Груздов Фёдор Анатольевич, кандидат технических наук, начальник отдела разработки высокопроизводительных микропроцессоров ЗАО «МЦСТ» Ведущая организация: . Институт точной механики и вычислительной

техники им. С. А. Лебедева РАН

Защита состоится «19» июня 2013 года в 16 час 30 мин на заседании диссертационного совета Д 409.009.01 при ОАО «Институт электронных управляющих машин им. И. С. Брука» по адресу: 119334, г. Москва, ул. Вавилова, д. 24. С диссертацией можно ознакомиться в библиотеке ОАО «Институт электронных управляющих машин им. И. С. Брука».

Автореферат разослан « 2013 г.

Учёный секретарь диссертационного совета кандидат технических наук, профессор

О^/' ! Красовский В. Е.

Общая характеристика работы

Актуальность темы исследования

Разработка микропроцессора, как и любой другой сложной системы, включает в себя огромное множество проектных решений, при принятии которых архитекторы существенно опираются на результаты имитационного моделирования, с помощью которого анализу подвергаются такие динамические параметры, как производительность, потребляемая мощность и др.

В качестве имитационной модели традиционно используется программный нотактовый симулятор микропроцессора, который при достаточной точности обладает очень низкой скоростью, исполняя порядка одной тысячи команд в секунду. Это означает, что моделирование одной секунды работы разрабатываемого микропроцессора потребует нескольких дней работы симулятора для каждого из возможных проектных решений.

Традиционно программируемые логические интегральные схемы (ПЛИС) в маршруте проектирования микропроцессоров используются лишь на конечных этапах при разработке прототипов для схемотехнической отладки. Однако в последнее время внимание исследователей из академических и промышленных кругов направлено на изучение возможности применения ПЛИС для симуляции работы микропроцессоров, т. е. на гораздо более раннем этапе маршрута проектирования.

Конфигурация вентильной матрицы ПЛИС при этом не повторяет в точности конечную электрическую схему микропроцессора, а только моделирует её поведение и временные характеристики. Например, симуляция одного такта моделируемого микропроцессора теперь может выполняться за несколько тактов ПЛИС.

Результаты исследовательских проектов UT-FAST, ProtoFlex, RAMP Gold и HAsim показывают, что применение ПЛИС позволяет создать аппаратный потактовый симулятор микропроцессора, обладающий на 2-3 порядка большей скоростью моделирования, чем традиционные программные симуляторы.

Однако применение ПЛИС существенно затруднено низким уровнем абстракции традиционных языков описания аппаратуры, гораздо более длительным циклом разработки по сравнению с разработкой программного обеспечения и ограниченной логической ёмкостью применяемых ПЛИС. Если затраты на разработку аппаратной модели слишком велики, то общее время, потраченное на разработку и проведение экспериментов, превысит таковое для программной модели.

Многие исследования последних лет в этой области были направлены на сокращение трудоёмкости разработки аппаратных симуляторов микропроцессоров. Тем не менее, в настоящее время не существует универсальной эффективной методологии разработки потактовых симуляторов микропроцессоров на ПЛИС, что свидетельствует об актуальности настоящего исследования.

Объект и предмет исследования

Объект настоящего исследования — потактовые имитационные модели микропроцессора.

Предмет настоящего исследования — методы разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах.

Цель, задачи и ограничения исследования

Цель настоящего исследования — построение методологии разработки аппаратных потактовых моделей микропроцессора на программируемых логических интегральных схемах.

Для достижения поставленной цели исследования решаются следующие задачи:

— анализ существующих технологий разработки аппаратных симулято-ров микропроцессора на ПЛИС;

— создание эффективного метода разработки аппаратных симуляторов микропроцессора;

— разработка автоматизированной системы тестирования аппаратных симуляторов микропроцессора;

— апробация и оценка предложенного метода и системы тестирования при разработке аппаратного симулятора современного микропроцессора с внеочередным исполнением команд.

Поставленные задачи решаются в рамках следующих ограничений:

— симулятор состоит из модулей, соответствующих тем или иным узлам микропроцессора;

— время вводится при помощи портов с фиксированной задержкой передачи сообщений между модулями;

— используются только однокристалльные ПЛИС.

Методы исследования

Для решения поставленных задач в настоящем исследовании использовались методы теории системного анализа и синтеза, теории графов, теории алгоритмов, методы математического и имитационного моделирования, тех-

нологии программирования, методы сравнительного и логического анализа. Количественные и качественные задачи решались с помощью статистических и графических методов.

Научная новизна работы

Решение поставленных в диссертационной работе задач определяет научную новизну настоящего исследования, которая заключается в следующем:

— разработана методология помодульного перехода от существующего программного потактового симулятора микропроцессора к аппаратному симулятору на ПЛИС, позволяющая эффективно использовать усилия, уже затраченные на разработку программной модели, а сохранение иерархической структуры и графа потока данных исходной модели позволяет обеспечить быструю и надёжную валидацию получаемого аппаратного симулятора;

— впервые рассматривается и применяется восходящий способ проектирования аппаратного симулятора микропроцессора на ПЛИС, при котором модули симулятора могут разрабатываться и тестироваться независимо друг от друга;

— разработана автоматизированная система тестирования, в которой впервые используется программный потактовый симулятор микропроцессора в качестве эталона для тестирования модулей аппаратного симулятора.

Основные результаты, выносимые на защиту

К основным результатам настоящего исследования, которые выносятся на защиту, относятся:

— восходящий метод помодульной разработки аппаратного потактового

симулятора микропроцессора на ПЛИС с использованием существующего программного потактового симулятора в качестве эталона, позволяющий сократить трудоёмкость разработки в 3 раза по сравнению с традиционными способами;

— автоматизированная система тестирования аппаратного потактового симулятора микропроцессора на ПЛИС, включающая в себя средства автоматической генерации кода, позволяющие полностью исключить ручное написание рутинного и служебного кода, объём которого достигает 40-50% общего объёма кода симулятора;

— результаты применения предложенного метода к разработке аппаратного потактового симулятора промышленной точности современного микропроцессора с внеочередным исполнением команд.

Практическая значимость и внедрение результатов работы

Практическая значимость работы подтверждается результатами применения предложенных методов для разработки аппаратного потактового симулятора современного микропроцессора с внеочередным исполнением команд. Трудоёмкость разработки с использованием предложенной методологии примерно в 3 раза ниже, чем в проектах аналогичной сложности, разрабатываемых традиционными способами.

Разработанная методология проектирования аппаратных потактовых си-муляторов микропроцессора была введена в эксплуатацию в экспериментальный комплекс предварительного проектирования микропроцессоров па сверхбольших интегральных схемах ЗАО «Интел А/О».

Теоретические исследования и методы, связанные с разработкой программных и аппаратных потактовых симуляторов современных микропро-

цессоров, легли в основу разделов лекций курса «Основы программного моделирования ЭВМ» для студентов 4-го курса кафедры микропроцессорных технологий Московского физико-технического института, обучающихся по программе бакалавриата направления «Прикладные математика и физика».

Апробация и публикация результатов работы

Результаты работы докладывались на международных научно-технических конференциях:

— 53-й научной конференции Московского физико-технического института «Современные проблемы фундаментальных и прикладных наук», г. Долгопрудный, 2010;

— Международной молодёжной научной конференции «XXXVII Гагарин-ские чтения», г. Москва, 2011;

— XI Международной научно-практической конференции «Фундаментальные и прикладные исследования, разработка и применение высоких технологий в промышленности», г. Санкт-Петербург, 2011;

— Международной молодёжной научной конференции «XXXVIII Гага-ринские чтения», г. Москва, 2012;

— XIII Международной научно-практической конференции «Современные проблемы гуманитарных и естественных наук», г. Москва, 2012.

Основные результаты работы опубликованы в шести печатных работах, в том числе в научном журнале «Труды Московского физико-технического института (государственного университета)», входящем в Перечень ведущих рецензируемых научных журналов и изданий, в которых должны быть опубликованы основные научные результаты диссертаций на соискание учёной степени доктора и кандидата наук.

Структура и объём работы

Диссертация изложена на 119 страницах, содержит 46 рисунков, 13 таблиц и состоит из введения, основной части, заключения и библиографического списка. Основная часть состоит из четырёх глав. Список литературы и источников насчитывает 134 наименования.

Содержание работы

Во введении формулируются цель и задачи исследования, описывается структура диссертации с обозначением основных результатов исследования и их научной новизны.

В первой главе рассматриваются задача моделирования микропроцессора, классификация симуляторов и базовые технологии их проектирования, поднимается проблема повышения скорости моделирования микропроцессоров и рассмотрены четыре группы подходов к решению данной проблемы.

Первая группа объединяет подходы, заключающиеся в модификации тестов, чтобы модель требовалось запускать только на репрезентативной части всей тестовой последовательности. Вторая группа объединяет подходы, направленные на модификацию модели и уменьшение степени её детализации. Эти подходы, таким образом, применимы только на самых ранних этапах проектирования, поскольку полученные результаты, требуется подтверждать далее в длительных запусках детализированных моделей, чтобы быть уверенным в принятых решениях.

Третья группа объединяет решения, заключающиеся в ускорении детализированных моделей с помощью параллельного выполнения их кода. Приложения такого рода обладают высокой степенью параллелизма, позволяющей

выделить сотни небольших независимых задач, однако распараллеливание модели не даёт желаемого ускорения из-за существенных накладных расходов на межпоточную синхронизацию. Более того, количество ядер на кристалле микропроцессора, как правило, увеличивается с каждым поколением, поэтому типичной является ситуация моделирования восьми- или шестнадцатиядерно-го микропроцессора на четырёхядерной машине.

Наконец, четвёртая группа решений предполагает использование программируемых логических интегральных схем (ПЛИС) для ускорения моделирования микропроцессоров. Результаты исследовательских академических проектов показывают, что применение ПЛИС позволяет достичь увеличения скорости моделирования на 2-3 порядка по сравнению с традиционными программными симуляторами. ПЛИС позволяют эффективно задействовать массовый параллелизм модели и решить проблему синхронизации задач путём непосредственной передачи сигналов синхронизации между аппаратными блоками, исполняющими независимые задачи.

По итогам рассмотрения существующего опыта применения ПЛИС для создания аппаратных потактовых моделей микропроцессоров в главе указываются преимущества и недостатки существующих подходов. Показывается, что в настоящее время не существует универсального метода разработки аппаратного потактового симулятора микропроцессора, что указывает на актуальность работы.

Во второй главе описываются аппаратные и программные средства, применяемые в данной работе, в частности описываются используемые среды разработки, приводятся базовые сведения о применяемом в работе высокоуровневом языке описания аппаратуры В1иезрес и инструментальном модуле набора ХШпх МЬ605 с установленной ПЛИС ХС6УЬС240Т серии УИех-б.

Одной из особенностей данного исследования является использование программного потактового симулятора микропроцессора в качестве эталонной модели. Разработанный метод описывается на примере перехода от программного симулятора, разработанного в инфраструктуре Аянп, к аппаратному симулятору с использованием инфраструктуры НАбии, однако может быть применён и в случае использования других собственных или сторонних окружений.

Проект Лепи — это открытая инфраструктура для разработки программных потактовых моделей микропроцессоров, которая создавалась с целыо предоставления возможности быстро разрабатывать потактовый симулятор микропроцессора с использованием уже существующих элементов. Чтобы обеспечить такую возможность, модель была разделена на отдельные модули, обычно соответствующие тем или иным узлам будущего микропроцессора (например, блок прогнозирования ветвления, кэш команд), которые могут быть легко заменены различными своими вариантами, имеющими одинаковый интерфейс.

Модуль сам по себе не имеет нотации времени и вычисления внутри него могут быть рассмотрены как бесконечно быстрые. Время представлено только как задержки на передачу сообщений между модулями в так называемых портах. Таким образом, пользуясь терминами теории графов, модель в данном представлении образует ориентированный взвешенный граф, вершинами которого являются отдельные модули, рёбрами —порты, а весами рёбер — задержки соответствующих портов.

Проект НАвт представляет собой открытую, гибкую и расширяемую инфраструктуру для разработки симуляторов на базе ПЛИС. Проект наследует лучшие идеи, разработанные в рамках проекта Авта, а также содержит ряд

нововведений, возникших в связи с переходом к использованию программируемой логики.

Концепция портов, применяемых в Asim, была адаптирована для применения в программируемой логике. Это позволяет переносить существующую модель из Asim в HAsim с сохранением структуры модулей и портов, а также упрощает распределённую синхронизацию блоков модели и уменьшает степень использования ресурсов ПЛИС.

В HAsim введено разделение модельного такта от такта ПЛИС. Такое разделение даёт возможность экономить ресурсы ПЛИС за счёт увеличения времени моделирования (частично это компенсируется возможностью повышения тактовой частоты микросхемы), т.к. алгоритмы, наиболее эффективно задействующие ресурсы ПЛИС, могут работать несколько тактов, чтобы смоделировать один такт модели. Для сравнения различных реализаций тех или иных блоков вводится характеристика FMR (англ. FPGA-cycles-to-model-cycles ratio), равная количеству тактов ПЛИС, затрачиваемых на моделирование одного такта микропроцессора.

Трудоёмкость разработки аппаратного симулятора в инфраструктуре HAsim сокращена за счёт введения виртуальной платформы, являющейся аппаратно-независимой в отличие от физической платформы, специфичной для конкретной ПЛИС. Виртуальная платформа обеспечивает разработчика средствами для сбора статистики, отслеживания событий и вывода отладочной информации, а также предоставляет набор служб, абстрактных устройств, иерархию памяти и протокол коммуникации для различных физически платформ.

Инфраструктура HAsim была выбрана для использования в рамках данного исследования как наиболее развитая и воплотившая в себе последние

разработки в области создания симуляторов микропроцессоров на ПЛИС. Тем не менее, оригинальная методология, применяемая в инфраструктуре НАвга, предполагает нисходящую разработку и не предоставляет инструментов для независимой разработки модулей симулятора, что критично на ранних этапах проектирования микропроцессора.

В третьей главе предлагается и исследуется восходящий метод проектирования аппаратного симулятора микропроцессора на ПЛИС. Основные шаги разработки по предлагаемому методу описаны на примере модуля кэша третьего уровня микропроцессора. Приводится оценка разработанного метода по результатам его применения для разработки аппаратного симулятора современного микропроцессора с внеочередным исполнением команд.

Разработка аппаратного симулятора является в данном методе восходящей: модули проектируются, разрабатываются, тестируются и отлаживаются, начиная с самого нижнего уровня иерархии модулей модели. При этом разработка и тестирование каждого модуля симулятора может выполняться независимо от других модулей, благодаря чему существенно сокращается трудоёмкость разработки. Хотя сам по себе восходящий способ разработки не является новым, для проектирования аппаратного потактового симулятора микропроцессора на ПЛИС он применяется впервые.

Первый шаг разработки аппаратного симулятора по предлагаемому заключается в изучении исходного кода одного из модулей эталонной программной модели. На этом этапе составляется список входных и выходных портов модуля, определяются их имена, задержки, пропускные способности и форматы сообщений (эти данные также используются системой тестирования). Изучается алгоритм работы модуля, в том числе, как обрабатываются входящие сообщения и происходит запись в выходные порты.

На втором шаге создаётся прототип модуля, содержащий все входные и выходные порты, а также вспомогательные компоненты, предназначенные для тестирования разрабатываемого модуля. Вспомогательные компоненты могут создаваться автоматически с помощью средств генерации кода и включают в себя специально разработанный служебный модуль, который используется на этапе тестирования.

В функции служебного модуля входит создание мнимого окружения для тестируемого модуля, чтение эталонных последовательностей сообщений входных портов из инструментальной ЭВМ и передача их в ПЛИС, а также сохранение последовательностей выходных сообщений модулля и передача их на инструментальную ЭВМ.

При создании прототипа модуля разработчик использует списки портов модуля и их параметры, полученные при анализе исходного кода программного симулятора. Код служебного модуля используется для проверки корректности связей по портам разрабатываемого модуля на этапе компиляции исходного кода.

На третьем шаге создаётся описание модуля на языке В1иеврес. Для этого может использоваться как исходный код программного симулятора, так и документация с описанием работы модуля, наличие которой является желательным, но не обязательным. Написание алгоритма работы модуля является самой трудоёмкой частью разработки: разработчик должен не только понять реализацию алгоритма на языке С++ в эталонной модели, но и написать эквивалентную реализацию на языке ВЫеврес.

Написание алгоритма является итеративным процессом, на начальных стадиях которого разработчик добивается функциональной эквивалентности модулей программного и аппаратного симуляторов. В дальнейшем разра-

ботчик оптимизирует код алгоритма модуля аппаратного симулятора для сокращения критических путей, уменьшения РМ11, а также для уменьшения использования ресурсов ПЛИС.

В процессе апробации метода а-порты инфраструктуры НАэт были доработаны для обеспечения возможности передачи нескольких сообщений в модельный такт, поскольку изначально оригинальные а-порты поддерживали передачу только одного сообщения в модельный такт. Также была добавлена функциональность фиксации проходящих через порт сообщений, требующаяся для автоматического тестирования модели.

Кроме того, стандартная библиотека языка Вкюврес была дополнена собственной библиотекой компонентов, с помощью которых шаг написания модуля существенно упрощался. Разработанная библиотека включила в себя шаблоны односвязного, двусвязного списков, шаблон кэша, шаблон алгоритма вытеснения из кэша и многие другие. Компоненты, которые повторяются в нескольких модулях программного симулятора, были реализованы в виде шаблонов модулей.

Четвёртым шагом разработки является генерация тестовых последовательностей для модуля. Для получения тестовых последовательностей используется эталонная модель Авт, в которой исходные порты, используемые для передачи сообщений между модулями, заменяются на порты с расширенной функциональностью, позволяющие наряду с передачей сообщений между модулями сохранять поток сообщений с временными метками в специальные двоичные файлы.

Наконец, пятым шагом разработки является проверка модуля с использованием полученных тестовых последовательностей. Для этого к тестируемому модулю подключается служебный модуль, который считывает тестовые по

следовательности, полученные из эталонной модели, и записывает сообщения их во входные порты тестируемого модуля. Затем выходные сообщения тестируемого модуля сравниваются с эталонными.

Завершается глава оценкой разработанного метода по результатам его применения для разработки аппаратной модели современного многоядерного микропроцессора с внеочередным исполнением команд. Поскольку основной задачей, решаемой в рамках данного исследования, является сокращение трудоёмкости разработки аппаратного симулятора микропроцессора на ПЛИС, то основным направлением оценки предложенного метода является оценка эффективности процесса разработки.

Основной мерой, применяемой в данной работе, является мера размера в терминах строк исходного кода, но учитываются только строки кода написанные вручную (без помощи автоматических генераторов кода), а комментарии и пустые строки игнорируются. Как видно из рис. 1, по количеству строк кода аппаратная модель, разработанная на языке описания аппаратуры Bluespec, примерно соответствует программной модели, разработанной на языке С++.

Общий объём кода разработанной модели микропроцессора на языке Bluespec составил около 18 тысяч строк, в то время как самая сложная модель микропроцессора, разработанная создателями инфраструктуры HAsim состоит из порядка 5 тысяч строк кода. Данный факт говорит о том, что модель подобной сложности и точности в рамках инфраструктуры HAsim была разработана впервые.

Для оценки эффективности процесса разработки в рамках данного исследования применяется известная модель издержек разработки СОСОМО II (англ. constructive cost model), согласно которой априорная оценки трудоёмкости разработки рассматриваемого аппаратного симулятора составляет

10 000

Рис. 1. Сравнение количества строк кода, потребовавшихся для реализации соответствующих модулей разработанного аппаратного и эталонного программного симуляторов

117 человеко-месяцев. Фактическое же значение трудоёмкости разработки составило 44 человеко-месяцев, что говорит о существенной эффективности используемой методологии, в которой автоматизировано большинство рутинных операций и разработчик использует программную модель как эталон.

Полученные скоростные характеристики модулей и подсистем разработанного с применением рассматриваемого метода аппаратного симулятора микропроцессора показывают, что при средней скорости работы программного симулятора, равной 2 кГц, достигнуто увеличение скорости симуляции микропроцессора в 68 раз по сравнению с программным симулятором.

Четвёртая глава посвящена описанию автоматизированной системы тестирования, разработанной в рамках предложенного метода, и инструмен-

HAsim-модель

RRR-каналы

Окружение исполнения

L

Тестовые последовательности, выходные данные модуля

7

Рис. 2. Структура модели для тестирования отдельного модуля

тов автоматической генерации служебного кода, позволяющих существенно сократить написание рутинного кода. В главе приводится также оценка эффективности разработанной системы тестирования.

Для проведения тестирования к разработанному модулю подключается специальный служебный модуль, который управляет сбором статистики, подаёт на входные порты последовательность сообщений из файла (журнала), сохранённого ранее при запуске эталонной модели, а также сохраняет выходные отклики модуля в отдельные файлы (журналы) по выходным портам, как показано на рис. 2.

В дальнейшем выходные последовательности из эталонного и разработан-

ного модулей проверяются на эквивалентность, причём сравнение происходит не в ПЛИС, а в инструментальной ЭВМ —там же, где хранятся тестовые последовательности сообщений. Это обусловлено, в первую очередь, ограниченными ресурсами памяти ПЛИС —двоичный файл работы модифицированного порта может занимать несколько гигабайт.

Работа тестируемого модуля считается корректной, если на заданном множестве тестовых последовательностей двоичные трассы выходных сообщений тестируемого модуля совпадают с двоичными трассами выходных сообщений тестируемого модуля в эталонной модели.

Автоматизированная система тестирования существенно упрощает процесс проверки корректности работы модуля, например, автоматизируя создание служебного модуля, подключаемого к тестируемому модулю. Последний может представлять собой комбинацию модулей разрабатываемого аппаратного симулятора вплоть до полной модели.

Система тестирования в автоматическом режиме сравнивает последовательность выходных сообщений тестируемого модуля с эталонной. При обнаружении расхождения указывается порт, в котором было оно определено, номер модельного такта, а также отображаются эталонные сообщения и сообщения от модуля аппаратного симулятора.

Система тестирования автоматизирует запуск как отдельного теста, так и большого набора тестов. Отдельные тесты позволяют разработчику проверить алгоритмы работы написанного модуля, большие наборы тестов — проверить функциональность модуля в различных сценариях, собрать статистику работы модуля и измерить скорость работы симулятора.

Функциональность, реализовать которую удалось с помощью соответствующих средств автоматической генерации кода, составляет:

— сериализация выходных сообщений модулей программной и аппаратной моделей;

— десериализация входных сигналов модулей программной модели;

— чтение тестовых последовательностей на стороне инструментальной ЭВМ, их передача в ПЛИС;

— приём выходных последовательностей из ПЛИС и их запись на стороне инструментальной ЭВМ;

— контрольная логика служебного модуля.

В среднем генерируется порядка половины всего кода модели, при этом для некоторых модулей объём автоматически сгенерированного кода превышает 70%, что позволило в случае разработки модели микропроцессора, описанной выше, сэкономить около 58 человеко-месяцев работы.

Инфраструктура разработанной системы тестирования локализована, позволяя оставить код непосредственно модулей незатронутым. Это делает разработанный метод тестирования масштабируемым и низкозатратным по сравнению с традиционными методами тестирования, в которых правкам подвергается код симулятора.

Заключение

Поставленная в работе цель достигнута, при этом в ходе работы решены следующие задачи:

— исследованы существующие методики разработки и тестирования аппаратных потактовых моделей микропроцессора на ПЛИС;

— разработан восходящий метод проектирования аппаратного нотакто-вого симулятора микропроцессора на ПЛИС, при котором модули

симулятора могут разрабатываться и тестироваться независимо;

— проведена оценка эффективности разработанного метода по нескольким критериям;

— разработаны автоматизированная система тестирования и инструменты автоматической генерации кода;

— проведена оценка эффективности разработанной автоматизированной системы тестирования.

Результаты апробации предложенного метода и разработанной автоматизированной системы модульного тестирования и соответствующих инструментов генерации кода для создания аппаратного симулятора современного микропроцессора с внеочередным исполнением команд показывают их применимость для сложных промышленных моделей микропроцессоров. Предложенные методы соответствуют поставленным в работе целям.

Список публикаций по теме исследования

1. Байда Ю. В. Программно-аппаратный симулятор процессора с векторным счетчиком инструкций на базе программируемых логических интегральных схем // Труды 53-й научной конференции МФТИ «Современные проблемы фундаментальных и прикладных наук». Часть I. Радиотехника и кибернетика. - Т. 1. - МФТИ, 2010. - Ноябрь. - С. 80-81.

2. Байда Ю. В. Переход от программной имитационной модели микропроцессора к потактовому симулятору на базе программируемой логики // Сборник статей XI Международной научно-практической конференции «Фундаментальные и прикладные исследования, разработка и применение высоких технологий в промышленности» / Под ред. А. П. Кудинова. —

Т. 3: Высокие технологии, образование, промышленность. — Издательство Политехнического университета, 2011.—Апрель. — С. 62-64.

3. Байда Ю. В. Применение программного потактового симулятора микропроцессора при разработке программно-аппаратной имитационной модели на базе программируемых логических интегральных схем // Научные труды Международной молодёжной научной конференции «XXXVII Гагаринские чтения». — Т. 4. — МАТИ, 2011. - Апрель. — С. 35-36.

4. Байда Ю. В. Задача и способы повышения скорости потактового симулятора микропроцессора // Сборник статей XIII Международной научно-практической конференции «Современные проблемы гуманитарных и естественных наук». — Т. 1. — Спецкнига, 2012. — Декабрь. — С. 97-99.

5. Байда Ю. В. Опыт разработки аппаратного потактового симулятора микропроцессора с внеочередным исполнением команд на базе программируемой логики // Научные труды Международной молодёжной научной конференции «XXXVIII Гагаринские чтения», — Т. 4. — МАТИ, 2012, —Апрель,— С. 58-60.

6. Методология перехода от программной потактовой модели микропроцессора к аппаратному симулятору на базе программируемой логики / Ю. В. Байда, А. В. Бутузов, А. Г. Ефимов, М. С. Цветков // Труды Московского физико-технического института (государственного университета). — 2012. — Т. 4, № 3 (15).-С. 114-122.

Подписано в печать: 16.05.2013

Заказ № 8501 Тираж -100 экз. Печать трафаретная. Типография «11-й ФОРМАТ» ИНН 7726330900 115230, Москва, Варшавское ш., 36 (499) 788-78-56 www.autoreferat.ru