автореферат диссертации по радиотехнике и связи, 05.12.04, диссертация на тему:Снижение ПИК-фактора случайных последовательностей многочастотных сигналов путем применения блочного кодирования и спектрально-эффективных методов манипуляции

кандидата технических наук
Рашич, Андрей Валерьевич
город
Санкт-Петербург
год
2009
специальность ВАК РФ
05.12.04
цена
450 рублей
Диссертация по радиотехнике и связи на тему «Снижение ПИК-фактора случайных последовательностей многочастотных сигналов путем применения блочного кодирования и спектрально-эффективных методов манипуляции»

Автореферат диссертации по теме "Снижение ПИК-фактора случайных последовательностей многочастотных сигналов путем применения блочного кодирования и спектрально-эффективных методов манипуляции"

На правах рукописи

Рашич Андрей Валерьевич

СНИЖЕНИЕ ПИК-ФАКТОРА СЛУЧАЙНЫХ ПОСЛЕДОВАТЕЛЬНОСТЕЙ МНОГОЧАСТОТНЫХ СИГНАЛОВ ПУТЕМ ПРИМЕНЕНИЯ БЛОЧНОГО КОДИРОВАНИЯ И СПЕКТРАЛЬНО-ЭФФЕКТИВНЫХ МЕТОДОВ МАНИПУЛЯЦИИ

Специальность 05.12.04 - радиотехника, в том числе системы и устройства

телевидения.

АВТОРЕФЕРАТ

диссертации на соискание ученой степ».—. кандидата технических наук

Санкт-Петербург - 2009

003488365

Работа выполнена в государственном образовательном учреждении высшего профессионального образования «Санкт-Петербургский государственный политехнический университет»

Научный руководитель:

доктор технических наук, профессор Макаров Сергей Борисович

Официальные оппоненты:

Сосунов Борис Васильевич, Заслуженный деятель науки РФ,

профессор, д.т.н., Военная академия связи.

Гутин Виталий Семенович, к.т.н., ст.н.с., Санкт-Петербургский государственный электротехнический университет «ЛЭТИ».

Ведущая организация:

ОАО «Мощная аппаратура радиовещания и телевидения» («МАРТ»),

Защита состоится 24 декабря 2009 года в /Ч.сО часов на заседании диссертационного совета Д 212.229.01 в ГОУ ВПО «Санкт-Петербургский государственный политехнический университет» по адресу: 195251, Санкт-Петербург, ул. Политехническая, 29, II уч. корпус, ауд. 470.

С диссертацией можно ознакомиться в фундаментальной библиотеке ГОУ ВПО «Санкт Петербургский государственный политехнический университет»

Автореферат разослан '3.!/.

Ученый секретарь

диссертационного совета Д 212.229.01 доктор технических наук, профессор

Короткое А.С.

1. ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы диссертации. В сетях беспроводного широкополосного доступа применяются сигналы с ортогональным частотным мультиплексированием, которые обеспечивает высокую помехоустойчивость приема в условиях межсимвольной интерференции. Эти многочастотные сигналы широко применяются в цифровых системах передачи данных WiFi, WiMAX, DVB-T, DAB и DRM. Планируется их использование и в сетях связи четвертого поколения LTE E-UTRA и дальнейших модификациях сетей WiMAX. Развитие сетей беспроводного широкополосного доступа происходит в направлении увеличения скорости передачи данных и повышения спектральной эффективности, повышения требований к качеству передачи сообщений.

Основным недостатком многочастотных сигналов (сигналов с ортогональным частотным мультиплексированием или сигналов с OFDM) является высокое значение пик-фактора колебаний, что приводит к значительному недоиспользованию выходных усилителей по мощности и повышению уровня межсимвольной и внутрисимвольной интерференции. Указанный недостаток значительно ограничивает область применения сигналов с OFDM и накладывает дополнительные ограничения на скорость передачи и достоверность приема.

При формулировке задачи синтеза многочастотных сигналов необходимо учитывать требования на величину пик-фактора колебаний, уровень внеполосных излучений, достоверность приема и другие технико-экономические ограничения. Однако решение такой оптимизационной задачи в общем случае оказывается не возможным. Тем не менее, такое решение для малого числа поднесущих частот при ограниченном числе требований на вид сигналов или подоптимальное для произвольного количества частот представляло бы интерес для разработчиков аппаратуры беспроводного широкополосного доступа. Методы снижения пик-фактора, основанные на блочном кодировании, способны решить задачу построения сигналов с OFDM с относительно низким пик-фактором и умеренной сложностью алгоритмов. Однако такие методы практически пригодны только для количества поднесущих частот не более 32 при низкой скорости кодирования (не больше 0,5).

Для значительного снижения пик-фактора сигналов с OFDM при большом количестве поднесущих методами блочного кодирования и сохранении неизменной скорости передачи информации необходимы дополнительные поднесущие для реализации блочного кодирования. Увеличение количества поднесущих в заданной полосе частот при решении задачи снижения пик-фактора сигналов с OFDM возможно путем их введения в участки по краям полосы частот. Эти участки полосы частот, освобождаются в случае, когда на поднесущих частотах будут применяться спектрально-эффективные методы манипуляции, обеспечивающие снижение уровня внеполосных излучений и полосы занимаемых частот.

Такой подход к снижению пик-фактора сигналов с OFDM не может находиться в отрыве от

реальных структур приемно-передающих трактов радиомодемов сигналов с OFDM. В

телекоммуникационных системах передачи информации формирование и прием сигналов с OFDM

3

осуществляется с использованием дискретного быстрого преобразования Фурье. При разработке структур предлагаемых приемно-передающих устройств, которые рассчитаны на формирование и обработку сигналов с OFDM с пониженным значением пик-фактора колебаний, идею использования дискретного быстрого преобразования Фурье целесообразно сохранить. Вследствие изменения структуры группового сигнала при переходе от прямоугольных огибающих на поднесущих к гладким необходимо разработать методы приема таких сигналов.

Объектом исследования в работе являются сигналы с ортогональным частотным уплотнением (сигналы с OFDM).

Предметом исследования является величина пик-фактора сигналов с OFDM и уровень внеполосных излучений в системах беспроводного доступа при заданных способах модуляции, количестве поднесущих и полосе частот.

Целью работы является снижение пик-фактора случайных последовательностей многочастотных сигналов (сигналов с OFDM) путем применения блочного кодирования и спектрально-эффективных методов манипуляции при большом числе поднесущих частот и путем синтеза оптимальных сигналов с OFDM при малом числе поднесущих частот при сохранении высокой достоверности приема.

Для достижения данной цели в работе поставлены следующие задачи:

• Разработка методики определения групп последовательностей информационных символов, которые дают при формировании сигналов с OFDM одинаковые значения пик-фактора колебаний, и алгоритмов блочного кодирования, исключающие максимальные и близкие к ним значения пик-фактора колебаний.

• Разработка методики и алгоритмов использования спектрально-эффективных методов манипуляции и блочного кодирования для снижения величины пик-фактора колебаний в случайных последовательностях сигналов с OFDM.

• Разработка алгоритмов приема сигналов с OFDM при использовании блочного кодирования и спектрально-эффективных методов манипуляции и оценка помехоустойчивости приема.

• Постановка и решение оптимизационной задачи синтеза сигналов с OFDM при условиях ограничений на величину пик-фактора колебаний, скорость спада энергетического спектра случайной последовательности сигналов и энергию сигналов с OFDM .

• Разработка и построение радиомодема с применением сигнальных процессоров и программируемых логических интегральных схем, использующего сигналы с OFDM, имеющие пониженное значение пик-фактора колебаний и проведение экспериментальных исследований спектральных характеристик.

Методы исследования. В ходе исследований использовались методы теории вероятностей, математической статистики, теории систем сигналов, теории потенциальной помехоустойчивости, вариационного исчисления, функционального анализа, специальных функций, теории случайных

4

процессов и математической статистики, спектрального анализа, методов вычислительной математики и программирования.

Вклад автора в разработку проблемы. Автором разработаны методики, алгоритмы блочного кодирования и применения спектрально-эффективных методов манипуляции. Разработана имитационная модель формирования и приема сигналов с OFDM. Сформулирована и решена оптимизационная задача синтеза сигналов, предложены методы приема с использованием алгоритма Витерби и итеративных процедур. В экспериментальной установке автор разработал блоки интерполяции/децимации, переноса частоты в ПЛИС, блоки формирователя спектрально-эффективных сигналов с OFDM с низким пик-фактором в сигнальном процессоре.

Обоснованность научных результатов обеспечивается применением апробированного метода численного решения оптимизационных задач, корректностью постановок и решения задач, вводимых допущений и ограничений, формулировок и выводов, комплексным использованием строгих аналитических методов исследования и подтверждается совпадением полученных результатов с известными для частных случаев.

Достоверность полученных результатов исследования определяется хорошим совпадением аналитических результатов с результатами имитационного моделирования и экспериментальных исследований.

Положения, выносимые на защиту.

• Для сигналов с OFDM с двоичной фазовой манипуляцией и восемью и шестнадцатью поднесущими предлагаемый блочный код со скоростью 0,75 позволяет снизить пик-фактор колебаний случайной последовательности сигналов на 4,5 дБ и на 5,9 дБ соответственно.

• Применение спектрально-эффективных методов манипуляции и блочного кодирования для числа поднесущих частот 100-1000 позволяет получить среднее снижение пик-фактора от 0,5 до 2,7 дБ в зависимости от количества дополнительных поднесущих (от 2 до 11) при сохранении неизменной скорости передачи информации.

• Использование на поднесущих частотах оптимальных сигналов с фазовой манипуляцией существенно снижает пик-фактор сигналов с OFDM и позволяет получить значение пик-фактора 1,4-1,6 дБ для двух поднесущих частот и 1,5-2,0 дБ для четырех поднесущих частот.

• Полученные алгоритмы приема, построенные на основе декодера Витерби (демодуляция по решетке), обеспечивают значения энергетического проигрыша, равные от 0,7 до 2,7 дБ, по сравнению с алгоритмами приема классических сигналов с OFDM.

• На основе экспериментальных исследований радиомодема, использующего блочное кодирование и спектрально-эффективные сигналы и 64 поднесущих частоты, показано, что при отстройке на величину 6/7" уровень внеполосных излучений снижается на 10 дБ по отношению к уровню внеполосных излучений классических сигналов с OFDM и величина пик-фактора снижается на 2 дБ.

Научная новизна результатов диссертационной работы.

• Разработана методика определения групп последовательностей информационных символов для сигналов с OFDM с произвольным видом манипуляции и числом поднесущих частот и на основе этой методики предложены алгоритмы блочного кодирования.

• Разработана методика и алгоритмы использования спектрально-эффективных методов манипуляции для увеличения числа поднесущих частот и введения блочного кодирования для снижения пик-фактора случайных последовательностях сигналов с OFDM.

• Сформулирована и решена оптимизационная задача синтеза сигналов с OFDM при условиях ограничений на величину пик-фактора колебаний, скорость спада энергетического спектра случайной последовательности сигналов, энергию сигнала и определена помехоустойчивость приема.

• Разработаны алгоритмы формирования и приема сигналов с OFDM при использовании блочного кодирования и спектрально-эффективных методов манипуляции, построенные на основе БПФ и декодера Витерби (демодуляция по решетке) или итеративной процедуры принятия решения.

• Разработан и экспериментально исследован радиомодем, в котором используются сигналы с OFDM с блочным кодированием и спектрально-эффективными методами манипуляции, обеспечивающий пониженное значение пик-фактора колебаний.

Теоретическая значимость результатов работы заключается в том, что впервые разработаны методики структурирования множества сигналов с OFDM по значению пик-фактора, методики использования спектрально-эффективных методов манипуляции и блочного кодирования, сформулирована и решена оптимизационная задача поиска оптимальной формы сигналов на поднесущих частотах, алгоритмы формирования и обработки сигналов. Значимость полученных теоретических результатов обусловлена их новизной и дальнейшим развитием теории сигналов и передачи сообщений.

Публикации. Результаты диссертационных исследований опубликованы в 11 статьях.

Апробация результатов. Материалы диссертационного исследования апробированы на 10-й Санкт-петербургской международной конференции Межрегиональная информатика-2006, 12-й Санкт-петербургской международной конференции Межрегиональная информатика-2008, 64-й научно-технической конференции НТОРЭС им. А. С. Попова.

Реализация результатов исследований. Результаты диссертационных исследований реализованы в НИР № 01.2.00 900813 с ФГУП «НИИ «Вектор» (Санкт-Петербург), НИР № 200908902 ООО «Специальный технологический центр» (Санкт-Петербург), проводимых в Санкт-Петербургском государственном политехническом университете в 2008-2009 года. Кроме того результаты исследований использованы в работах по федеральной целевой программе по госконтракту № 02.740.11.0467 с Федеральным агентством по науке и инновациям в 2009 году.

Пути дальнейшей реализации. Научные и практические результаты, полученные в ходе выполнения исследования, в дальнейшем могут быть использованы при создании перспективных подвижных систем связи, модернизации систем цифрового телевидения и беспроводной передачи данных.

Структура диссертации. Диссертационная работа состоит из введения, шести разделов, заключения и одного приложения.

2. СОДЕРЖАНИЕ РАБОТЫ

Во введении характеризуется актуальность, новизна, научная и практическая значимость, цель работы, формулируются научная задача и основные вопросы исследований, проводится краткий анализ работ, посвященных данной теме, перечислены основные научные и практические результаты, выносимые на защиту, и раскрывается содержание основных разделов работы и структура исследований.

В первом разделе работы рассмотрены характеристики многочастотных сигналов. Приведены аналитические выражения и рассмотрены формы сигналов с OFDM и их энергетические спектры. Определено понятие пик-фактора колебаний и приведены примеры значений пик-фактора для сигналов с OFDM. Рассмотрены спектральные характеристики случайных последовательностей сигналов и приведены соотношения между необходимой и занимаемой полосой частот. Сформулирована постановка оптимизационной задачи поиска огибающей многочастотных сигналов и показаны пути ее решения. Рассмотрены методы формирования и приема сигналов с OFDM. Дана формулировка цели работы и описаны научные задачи диссертации.

Во втором разделе разработана методика определения групп последовательностей информационных символов для сигналов с OFDM с произвольным видом манипуляции и числом поднесущих частот. Предложены преобразования, позволяющие разбить весь алфавит сигналов с OFDM на подмножества с сигналами с одинаковым пик-фактором. На основе этих преобразований предложены алгоритмы построения блочных кодов, понижающих пик-фактор сигналов с OFDM. Предложенные преобразования справедливы для сигналов с OFDM с любым видом манипуляции (многопозиционные ФМ и KAM).

Общая идея задачи структурирования множества сигналов с OFDM по значению пик-фактора заключается в анализе произведения у„-у'„ ■ел'"" . Возможны три случая, когда пик-факторы

сигналов с OFDM, сформированных из векторов У'" и F'2' с элементами {>'!,"}' 0 ' и {.V,',2'}' '> будут одинаковы.

I. Пик-факторы П(Г(") и П(У(21) будут равны, когда v,1,"- у{"' = у? ■ уТ > п,т = 0,1,..., (jV —1). Для нахождения модулей элементов вектора Yl2} из системы получены следующие уравнения:

{Kn|=H!'|^" = o.>,...,(iv-i), (1)

7

А для нахождения фаз элементов вектора Y воспользоваться следующей системой:

^-<Р!"=<Р12>-<Р:2'

В системе (2) количество неизвестных на единицу больше количества уравнений. Такая система в общем случае имеет бесконечное множество решений. Тем не менее, в рассматриваемом случае фазы могут иметь только строго определенные значения, поэтому, выбрав свободное неизвестное и выразив через него остальные неизвестные, можно найти фазы остальных элементов вектора К12). Причем, перебирая все возможные значения для , получим разные вектора F'21.

II. В случае, когда пик-факторы П(КШ) и П(Т|2)) соответствуют разным моментам времени, т-е- 'Ут'' -е'2"" ™),r+irl = у!** ■ ■ е'2"'" т)г, где r = t/T, ге[0; 1] - нормированное время, Дг -некоторый нормированный сдвиг по времени. Тогда у= v',21 ■e,2'""ir. И, следовательно,

^,2)=<р;," + 2л-)гДг (3)

Для Аппозиционной ФМ дополнительный фазовый сдвиг каждого элемента вектора У|2) будет

равен А<рп = 2/гяДг = п = 0, 1,..., (N-V), к = 1, 2,..., (М-1). Таким образом, если в

М

используемом алфавите имеются сигнальные точки с одинаковыми амплитудами и разными фазами, то, используя преобразования (1)—(3) все множество информационных векторов можно разбить на некоторое количество подмножеств. Причем сигналы с OFDM, сформированные из информационных векторов, принадлежащих одному подмножеству, будут иметь одинаковый пик-фактор.

Существуют также такие подмножества, что сигналы с OFDM, сформированные из информационных векторов, принадлежащих этим подмножествам, также имеют одинаковый пик-фактор. Т.е. подмножества могут объединяться. Для нахождения таких подмножеств рассмотрим третье преобразование.

III. Пусть Jl2,=y;i„, (4)

тогда

Л/ I jV 1 N 1 /V I

-=0т=0 «=0 т=0

¿_Д7|_И0 0 N IN I ^ '

= 11 ■>'"'" ■Л"'-^1' "г =12>,(" 'УТ -е™ 1>г

k=N I !=N 1 1=0 к=0

I = N-\ — m

Т.е. пик-факторы П(К'") и П(У|2)) сигналов с OFDM, образованных информационными векторами с элементами, для которых выполняется равенство >'',"' „, равны. Это значит, что

подмножества сигналов с OFDM с одинаковым пик-фактором возможно объединить в более крупные множества.

В ходе численного исследования структуры (количество, объем классов и вид векторов, входящих в них) подмножеств сигналов с OFDM с одинаковым значением пик-фактора, показано, что существует потенциальная возможность снижения величины пик-фактора сигналов с OFDM с произвольным видом манипуляции и количеством поднесущих частот.

Предложенные процедуры блочного кодирования позволяют снизить максимальный пик-фактор сигнала с OFDM в 2,8 раза (на 4,5 дБ) для сигналов с 8 поднесущими и в 4 раза (на 6 дБ) для системы с 16 поднесущими при скорости блочного кода 0,75. При фиксированной скорости кода предложенный код для системы с 16 поднесущими по значению максимального пик-фактора проигрывает оптимальному 1 дБ и выигрывает у существующих 1,6 дБ. При фиксированном значении пик-фактора скорость оптимального кода для системы с 16 поднесущими составляет 0,94, предложенного - 0,75, существующих - 0,5-0,62.

В третьем разделе разработана методика использования спектрально-эффективных методов манипуляции для снижения уровня внеполосных излучений по краям занимаемой сигналами с OFDM полосой частот с целью введения дополнительных поднесущих частот.

Предложен метод формирования спектрально-эффективных сигналов с OFDM с огибающей произвольного вида на каждой поднесущей частоте. Предложенный метод позволяет осуществлять формирование сигналов на поднесущих частотах с формой огибающей произвольного вида с использование ОБПФ.

Спектрально-эффективный сигнал с OFDM с гладкими огибающими на каждой поднесущей вида a(t) можно записать в следующем виде:

N12-1 К/2-1 ( т \\ «/2-1 Л т

s(t)= I ЛАОС™ = I 4 cos = £ 4- X ate^' (6)

Учитывая, что т. к. соп = 2жп / Т, то со„ + с= &>„+д и

N12-1 л m

*>= Е (7)

n=-N/2 k=-m

ak = a-k' к= 0,±1,±2,...,±m. Из (7) видно, что в результате применения огибающей непрямоугольной формы каждая составляющая для п-й поднесущей распадается на сумму 2т+1 составляющих, сосредоточенных рядом с п-й поднесущей. Тогда коэффициент при п-й поднесущей будет определяться 2;и+1 коэффициентами от соседних 2т частот и собственно п-й частоты:

т

Сп= Z Ап+как, (8)

n = -N I2,(-N !2 + \),...,{N/2-Х), ак =а_к, к = 0,±\, ±2,..., ±т, С„ - новые модуляционные символы,

= 0, (п + к)> N / 2-\, (п + к) <-N / 2.

9

Таким образом, количество используемых поднесущих увеличивается на 2т штук: по т с каждой стороны диапазона используемых частот. Вследствие того, что при фиксированной длительности сигнала размерность БПФ/ОБПФ однозначно определяет частоту дискретизации сигнала с OFDM, увеличение количества поднесущих в сигнале ведет к увеличению частоты дискретизации, полосы занимаемых частот и изменению параметров системы.

На рис. 1 представлена схема устройства формирования сигналов с OFDM при использовании спектрально-эффективных методов манипуляции на поднесущих частотах. В ней присутствуют традиционные блоки модулятора, преобразования данных из последовательного представления в параллельное, ОБПФ и преобразование отсчетов с выхода блока ОБПФ в последовательный вид. Так же в схему формирователя добавился новый блок перед ОБПФ, осуществляющий линейное преобразование (8) над входными информационными символами.

Nho, входных комплексных N комплексных Временные отсчеты

модуляционных символов модуляционных спектрально-эффективного символов сигнала с OFDM

Рис. 1. Структурная схема устройства формирования.

В результате применения спектрально-эффективных поднесущих в сигнале с OFDM в фиксированной полосе частот можно разместить большее количество поднесущих. Дополнительные поднесущие используются для снижения пик-фактора исходного сигнала с OFDM.

На рис. 2 представлена структурная схема формирователя спектрально-эффективных сигналов с OFDM с дополнительными поднесущими для снижения пик-фактора. В ней присутствуют блоки модулятора, преобразования данных из последовательного представления в параллельное, ОБПФ и преобразование отсчетов с выхода блока ОБПФ в последовательный вид, блок, осуществляющий линейное преобразование (8), блок расчета пик-фактора и генератор комплексных амплитуд для дополнительных поднесущих для снижения пик-фактора.

символов для снижения пик-фактора

Рис. 2. Структурная схема устройства формирования сигналов с OFDM с дополнительными поднесущими.

На входе устройства информационные символы преобразуются в модуляторе в комплексные модуляционные символы, которые мультиплексируются с комплексными символами для дополнительных поднесущих, сформированными в одноименном блоке. Полученные символы поступают на вход преобразователя по выражению (8). Преобразование (8) справедливо для случаев произвольного количества поднесущих с гладкой огибающей в сигнале, поэтому на управляющий вход данного блока поступает информация о количестве поднесущих Nu по краям полосы занимаемых частот, которые должны быть спектрально-эффективными. В общем случае, число дополнительных поднесущих и число спектрально-эффективных поднесущих не одинаковы. На выходе преобразователя по выражению (8) имеем отсчеты в частотной области спектрально-эффективного сигнала с OFDM. Указанные отсчеты поступают на вход блока ОБПФ, который осуществляет формирование группового сигнала во временной области. В блоке расчета пик-фактора осуществляется оценка пик-фактора полученного колебания и запоминание комбинации комплексных амплитуд для дополнительных поднесущих, соответствующее наименьшему значению пик-фактора колебания. Описанная процедура является итеративной и осуществляется для всех возможных комбинаций комплексных амплитуд для дополнительных поднесущих. На выход устройства поступает групповой сигнал с наименьшим значением пик-фактора.

Показано, что при использовании в сигнале с OFDM от 5 до 40% поднесущих с использованием спектрально-эффективных методов манипуляции уровень внеполосных излучений по сравнению с классическими сигналам с OFDM уменьшается на 1-12 дБ в зависимости от скорости спада и количества поднесущих; при 100% спектрально-эффективных поднесущих уменьшение уровня ВПИ составляет от 50 до 90 дБ.

В результате применения спектрально-эффективных методов манипуляции в сигнале с OFDM

полоса занимаемых частот по уровню -40 дБ уменьшается на 2,5-11,6%. Показано, что для числа

поднесущих порядка 10-103 , применение спектрально-эффективных методов манипуляции и

п

блочного кодирования позволяет получить среднее снижение пик-фактора от 0,5 до 2,7 дБ в зависимости от количества дополнительных поднесущих (от 2 до 11). При этом сохраняется неизменной скорость передачи информации.

В четвертом разделе сформулирована оптимизационная задача синтеза сигналов с OFDM при наличии ограничений на скорость спада энергетического спектра и энергию сигнала и на величину пик-фактора колебаний группового сигнала. Для снижения пик-фактора спектрально-эффективных сигналов с OFDM решается оптимизационная задача поиска формы огибающей A(t) группового сигнала и огибающей a(t) на каждой поднесущей при сохранении ограничений на уровень внеполосных излучений и при дополнительном ограничении на максимальное значение пик-фактора группового сигнала.

Для рассматриваемого случая задача минимизации уровня внеполосных излучений в общем случае сводится к минимизации функционала (9) при наличии граничных условий и ограничений на энергию сигнала, а также на его пик-фактор:

J = (-1)" ] A(t)Aa"'(t)dt, t б [-Т/ 2;Т/ 2], п =1, 2, ..., (9)

где A(t) - огибающая сигнала с OFDM, А'"(1) - производная порядка (2п) функции А(1). Таким образом, оптимизация формы сигнала сводится к оптимизации формы А(1) огибающей сигнала с OFDM на интервале времени от [—772; 772] при которой J минимален. Решением вариационной задачи будет функция A(í), минимизирующая функционал (9), при наличии граничных условий вида Aí2n)(t) |,=+772=0 и ограничений, на энергию и пик-фактор сигнала. Для решения сформулированной вариационной задачи в общем случае применяется метод множителей Лагранжа и составляется система дифференциальных уравнений Эйлера.

Запишем:

Д') = 'ÉK COS&) + Вк sin(^kt)).

где A„ =1 f A(t)dt, At =1 J A(t)co^kt)dt, Bk =1 J A(t)kt)dt.

' -Til -Til ' ' -Til '

В связи с тем, что функция A(t) является четной, имеем B¡¡ = 0 и получим следующее выражение для А(1):

A(0=^+fí(At cosi^cO- (Ю)

I J,, ¿1

Тогда после подстановки ( 10) в (9) и упрощения получим

т m / « \2п

Граничные условия при этом будут записаны в виде системы:

Л(') \l=±T/2 = ~r+ 2 Ак = ^(2,)С)1,=±Г/2=(-1)/£Л

/1=]

*=1

2л-,

= 0,/ = 1,2,...,и,

(12)

ТAn 7

а ограничение на энергию сигнала: Е = — =1. (13)

4 2 4=1

Рассмотрим случай, когда в сигнале с OFDM содержится 2" поднесущих. Тогда групповой сигнал имеет следующий вид:

s(t) = 2"a„(i)cos-^^J!!Jcos(2' 2дwt) Jcos /2"

где au(t) - огибающая на каждой поднесущей, a A(г) = 2"a„(0cos~"~'^J~Icos(2* 2Д<а/)

огибающая фуппового сигнала, являющаяся решением оптимизационной задачи.

Сформулированная оптимизационная задача решена для случаев двух и четырех поднесущих при различном количестве отсчетных точек. Полученные значения пик-фактора равны 1,51.

На рис. 3 показан внешний вид функций A(t), аг(t) и £/4(0 при решении оптимизационной задачи для значения пик-фактора колебаний, равного 1,51. На рис. Ъ,а показана огибающая сигнала с OFDM, 3,6 огибающая сигнала на поднесущей для случая двух поднесущих в сигнале, 3,в огибающая сигнала на поднесущей для случая четырех поднесущих в сигнале.

Д« =

(14)

■*(» 0.4 О»

Рис. 3. Внешний вид функций А(/), a jl) и а4(/) при решении оптимизационной задачи для значения пик-фактора колебаний, равною 1,5.

В пятом разделе предлагаются методы демодуляции спектрально-эффективных сигналов на основе демодулятора по решетке (алгоритм Витерби) и итеративного декодера.

При использовании спектрально-эффективных методов манипуляции каждая составляющая на п-й поднесущей распадается на сумму (2т+1) составляющих, сосредоточенных рядом с п-\\ поднесущей, где (m+l) - количество учитываемых членов в разложении огибающе.! ;:if) з ряд Фурье. При сложении сигналов всех поднесущих амплитуда и фаза каждой поднесущей будет зависеть не только от символа, передаваемого на данной поднесущей, но и от символов, передаваемых на соседних поднесущих, а также значений коэффициентов щ , k = 0, 1, ..., in в разложении а(1) в ряд Фурье.

Рассмотрим решетчатую структуру для формирователя спектрально-эффективных сигналов с OFDM (рис. 4). В узлах решетки находятся точки, соответствующие комплексным модуляционным символам алфавита до преобразования (8). Всякая входная последовательность символов канального алфавита соответствует некоторому пути на решетке.

Каждому ребру соответствует определенный символ на выходе преобразования (8), являющийся отсчетом спектрально-эффективного сигнала с OFDM в частотной области. Количество ребер, выходящих из одного узла, определяется количеством членов т в разложении a(t) в ряд Фурье и в общем случае может принимать достаточно большое значение. Тем не менее, в практически важных случаях решения оптимизационной задачи модуль всех коэффициентов в разложении кроме первых двух-трех относительно невелик и может не учитываться при анализе. Учет только первых двух коэффициентов при анализе решетки спектрально-эффективного сигнала с OFDM приводит к тому, что количество ребер, выходящее из данного узла равно четырем (см. рис. 5).

Номер годнесущей

Номер поднесущей

А1 = (1 + 0 / V5 (00)

Л2=н+О/72 <°1> ©•'

Г' ( I <> -¿2 (11) (У

Г (I-/I (Ю) ©

Рис. 4. Решетка для формирователя спектрально-эффективных сигналов с OFDM при манипуляции ФМ-4.

Рис. 5. Фрагмент решетки спектрально-эффективного сигнала с OFDM со всеми возможными переходами и манипуляции ФМ-4.

Задачу демодуляции спектрально-эффективного сигнала с OFDM можно рассматривать как задачу нахождения пути по решетке с помощью определенных правил демодуляции, так же как в алгоритме Витерби декодирования сверточных кодов. Заметим, что обработка данных осуществляется в частотной области, а не во временной, как в классическом декодере Витерби. В отличие от декодера Витерби в демодуляторе спектрально-эффективных сигналов с OFDM метрика является не хемминговой, а рассчитывается на основании следующего выражения:

2

dk = п+1

Cn-iA^^ + A^o + A ¿¡i)

(15)

где А] =(1 + г)/л/2, A2 =(-\ + i)/S, Аъ =(-\-i)/^2, A4 =(1-i)/V2,

rt = -N/2,-N/2 + 1,...,N/2-], N - количество поднесущих в сигнале, А„ и А„./ - комплексные

модуляционные символы на п-й и (и - 1 )-й поднесущих соответственно, Сп

амплитуда п-й поднесущей принятого сигнала на выходе БПФ.

14

комплексная

Алгоритм демодуляции спектрально-эффективных сигналов с OFDM по решетке выглядит следующим образом:

1. Инициализация демодулятора. В начале демодуляции можно считать, что все состояния равновероятны, либо осуществлять демодуляцию из заранее известного состояния.

2. Прямой проход по решетке. Осуществляется последовательный анализ принятой последовательности с выхода БПФ, рассчитываются метрики путей, в памяти демодулятора сохраняются и обновляются "выжившие" пути.

3. Обратный проход по решетке. Из "выживших" путей выбирается путь с наилучшей метрикой и по нему восстанавливается переданная последовательность символов канального алфавита.

Для дополнительного увеличения помехоустойчивости возможно применение гладких огибающих только на поднссущих, расположенных на краях используемого диапазона частот.

Зависимости средней вероятности ошибки на бит от отношения сигнал-шум, полученные с помощью разработанной имитационной модели, представлены на рис. 6 для случаев 5, 10,20 и 100% спектрально-эффективных сигналов на поднесущих часотах от общего числа поднеущих частот, размерности БПФ, равной 256, количестве поднесущих, равном 200, и скорости спада спектра I if.

СПЭ OFDM 5% ;

СПЭ OFDM 10% СПЭ OFDM 20% СПЭ OFDM 100% OFDM ФМ-4

1о'*-1-i-i-i-i-i-i—:-i——-J--—i-i-i-i

1 2 3 4 5 6 7 8 9 10 11 12 13 14

EbNo

Рис. 6. Зависимости средней вероятности ошибки на бит от отношения сигнал-шум на бит при приеме спектрально-эффективных сигналов с OFDM по алгоритму демодуляции но решетке (Витерби). Энергетический проигрыш при приеме спектрально-эффективных сигналов с OFDM методом демодуляции по решетке по сравнению с приемом сигналов с OFDM с ФМ-4 составляет от 0,7 до 2,7 дБ при количестве поднесущих с гладкими огибающими от 5 до 20% для Раш = Ю~3-ЮЛ

В шестом разделе проведены экспериментальные исследования частотных характеристик спектрально-эффективных сигналов с OFDM с применением цифрового сигнального процессора ТМХ320С6455 и ПЛИС Virtex4 SX35. Система включает в себя цифровой сигнальный процессор

(ЦПОС) ТМХ320С6455 фирмы Texas Instruments и ПЛИС Virtex4 SX35 фирмы Xilinx. Тактовая

15

частота сигнального процессора 600 МГц, тактовая частота ПЛИС - 120 МГц, частота дискретизации сигнала на нулевой частоте 3 МГц, на ПЧ - 120 МГц. Внешний вид системы представлен на рис. 7.

Основными функциями ПЛИС в системе являются операции, требующие значительных вычислительных мощностей: фильтрация, децимация и интерполяция сигналов, а также перенос спектра сигнала на ПЧ при передаче и на нулевую частоту при приеме. Сигнальный процессор выполняет подготовку данных к передаче и окончательную обработку данных при приеме, в том числе модуляцию/демодуляцию и БПФ/ОБПФ.

Рис. 7. Внешний вид экспериментальной установки.

На рис. 8 представлена функциональная схема разработанной системы, показаны основные узлы и их физическое размещение в системе (ЦПОС или ПЛИС).

Рис. 8. Основные функциональные модули ЦПОС и ПЛИС.

В ПЛИС реализованы преобразователи частоты вверх и вниз, модули управления АЦП и ЦАП, интерполятор и дециматор по каскадной схеме на встроенных умножителях. Также в ПЛИС размещено два модуля FIFO: FIFO 0 для приема данных и FIFO 1 для передачи. Оба модуля подключены через интерфейс EMIFA к шине данных и адреса ЦПОС таким образом, что указанные блоки памяти находятся в адресном пространстве ЦПОС. Контроллер прерываний в ПЛИС отслеживает степень заполнения обоих модулей FIFO и генерирует сигналы прерывания, которые подаются на контакты внешних прерываний ЦПОС. В ЦПОС посредством EMIF (external memory

interface) осуществляется адресация данных, находящихся в модулях FIFO и с помощью каналов прямого доступа к памяти EDMA осуществляется перемещение блоков данных в и из внутренней памяти ЦПОС. Контроллер прерываний в ЦПОС определяет факт окончания работы каналов DMA и формирует сигналы разрешения на считывание/передачу новых данных из/в соответствующий модуль FIFO. Кэши первого и второго уровней используются для ускорения работы программы с часто используемыми блоками данными при реализации конвейеров. Загрузчик в ЦПОС загружает исполняемую программу из внешней памяти. Ядро С6455 выполняет все основные функции по формированию и приему спектрально-эффективных сигналов с OFDM.

МТ8801В 30-Sep-O9 13:28:33 HKR .- 89 . 9©«1MHz 22.04dB

RB 30kHzt PIT 3tMDt

хБ/ Tr-F Band 1

л - г \ л ул л

1' "V Ч / 1

1 1

w Vw

Iffl

Cap led F

RBU

Sweep Time

in

a

Coupled Trace-Freq Trace-Time Trigger/ Measure

Func.t i on Gate

Рис. 9. Энергетический спектр сигнала с OFDM с применением спектрально-эффективных методов манипуляции на

поднесущих.

Получено (рис. 9), что при отстройке на величину б/Гот крайней поднесущей частоты, уровень внеполосных излучений сигналов с OFDM с прямоугольной огибающей на поднесущих на 10 дБ выше уровня внеполосных излучений спектрально-эффективных сигналов с OFDM при размерности ОБПФ, равном 256, количестве поднесущих в сигнале, равном 44 и скорости спада спектра 1 if. Измерение пик-фактора сигнала осуществлялось на входе передающего буфера ПЛИС. Среднее снижение пик-фактора для сигналов с OFDM составило 2.1 дБ.

В заключении дана обобщенная итоговая оценка диссертационной работы и охарактеризованы научные результаты, представляющие теоретический и практический интерес.

В приложении представлен текст разработанной имитационной модели на языке Matlab.

ОПУБЛИКОВАННЫЕ РАБОТЫ

1. Раишч A.B. Помехоустойчивость радносистем с ортогональным частотным мультиплексированием в условиях действия помехи в части полосы при применении расширения спектра и посимвольной перестройки частоты поднесущих / А. В. Рашич, М. Е. Елесин. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №74, 2006. — 6 с.

2. Раишч А. В. Вероятность ошибки на бит в системах с ортогональным частотным мультиплексированием в двухлучевом канале с постоянными параметрами в условиях аддитивного белого гауссовского шума / А. В. Рашич, М. Е. Елесин. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №74,2006. — 7 с.

3. Раишч А. В. Моделирование радиосистем передачи информации сетей беспроводного доступа / А. В. Рашич. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №74, 2006. — 5 с.

4. Рашич А. В. Применение блочного кодирования для снижения пик-фактора сигналов с OFDM / А. В. Рашич, С. Б. Макаров // Труды СПбГТУ № 507. — СПб. : Изд-во Политехнического университета, 2008. — С. 170—178.

5. Рашич А. В. Снижение пик-фактора сигналов с ортогональным частотным уплотнением / А. В. Рашич, С. Б. Макаров // Научно-технические ведомости СПбГПУ № 2(55)/2008. — СПб.: Изд-во Политехнического университета, 2008. — С. 79—84.

6. Раишч А. В. Способы нахождения подмножеств OFDM-сигналов с одинаковым пик-фактором / А. В. Рашич, Д. С. Избенников. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №83. — 2008. — 5 с.

7. Рашич А. В. Формирование подмножеств OFDM-сигналов с одинаковым пик-фактором / А. В. Рашич, Д. В. Салюк // 10-я Санкт-Петербургская международная конференция Межрегиональная информатика-2006. Труды конференции. — СПб. : СПОИСУ, 2007. — С. 152— 156.

8. Рашич А. В. Снижение пик-фактора OFDM-сигналов с помощью блочного кодирования / А. В. Рашич, С. Б. Макаров, Д. В. Салюк // 12-я Санкт-Петербургская международная конференция Межрегиональная информатика-2008. Труды конференции. — СПб. : СПОИСУ, 2008. — С. 141— 146.

9. Рашич А. В. Принципы обработки изображений : учеб. пособие / А. В. Рашич. — СПб. : Изд-во Политехнического университета, 2008. —149 с.

10. Рашич А. В. Метод формирования спектралыю-эффективных OFDM-сигналов на основе неортогональных базисных функций / А. В. Рашич, С. Б. Макаров II Научно-технические ведомости СПбГПУ № 2(76)/2009. — СПб. : Изд-во Политехнического университета, 2009. — С. 94—98.

11. Раишч А. В. Метод формирования спектрально-эффективных OFDM-сигналов/ А. В. Рашич // 64-я научно-техническая конференция СПб НТОРЭС им. A.C. Попова. Труды конференции. — СПб. : ООО «Технопромимпорт», 2009. — С. 73—74.

12. Рашич А. В., Ветров Ю.В. Помехоустойчивость систем OFDM в условиях действия структурной помехи в части полосы частот сигнала / А. В. Рашич, 10. В. Ветров // XXXIV Неделя

науки СПбГПУ: Материалы Всероссийской межвузовской научно-технической конференции студентов и аспирантов. Ч. VI. — СПб.: Изд-во Политехи, ун-та, 2006. — С. 25—27.

13. Рашич А. В. Формирование спектрально-эффективных ОРОМ-сигналов / А. В. Рашич, С. Б. Макаров // XXXVII Неделя науки СПбГПУ: Материалы Всероссийской межвузовской научно-технической конференции студентов и аспирантов. — СПб. : Изд-во Политехи, ун-та, 2008. — С. 45—47.

Лицензия ЛР № 020593 от 07.08.97

Подписано в печать 17.11.2009. Формат 60x84/16. Печать цифровая. Усл. печ. л. 1,0. Уч.-изд. л. 1,0. Тираж 100. Заказ 5173Ь.

Отпечатано с готового оригинал-макета, предоставленного автором, в Цифровом типографском центре Издательства Политехнического университета. 195251, Санкт-Петербург, Политехническая ул., 29. Тел.:(812)550-40-14 Тел./факс: (812) 297-57-76

Оглавление автор диссертации — кандидата технических наук Рашич, Андрей Валерьевич

Список обозначений.

Введение.

1 Характеристики многочастотных сигналов.

1.1 Временные характеристики сигналов.

1.2 Методы снижения пик-фактора сигналов с OFDM.

1.3 Спектральные характеристики случайной последовательности сигналов с OFDM.

1.4 Полоса занимаемых частот и спектральные маски сигналов.

1.5 Спектрально-эффективные методы манипуляции.

1.6 Формирование сигналов с OFDM.

1.7 Прием сигналов с OFDM.

1.8 Цель работы и постановка задач исследований.

2 Снижение пик-фактора сигналов с OFDM путем применения блочного кодирования.

2.1 Методика нахождения подмножеств сигналов с OFDM с одинаковым пик-фактором.

2.2 Численный анализа величины пик-фактора колебаний.

2.3 Выбор блочных кодов для сигналов с OFDM с малым значением пик-фактора колебаний.

2.3.1 Описание блочных кодов для снижения пик-фактора колебаний

2.3.2 Блочное кодирование.

2.4 Выводы по разделу 2.

3 Снижение пик-фактора путем совместного применения блочного кодирования и спектрально-эффективных сигналов.

3.1 Частотные характеристики сигналов с OFDM при использовании спектрально-эффективных методов манипуляции.

3.2 Введение дополнительных поднесущих по краям занимаемой полосы частот.

3.3 Методика формирования спектрально-эффективных сигналов с OFDM

3.4 Сигнальные созвездия сигналов с OFDM при использовании спектрально-эффективных методов манипуляции.

3.5 Объединение спектрально-эффективных методов манипуляции и блочного кодирования.

3.6 Выводы по разделу 3.

4. Совместная оптимизация формы огибающей сигналов при наличии ограничений на пик-фактор группового сигнала.

4.1 Постановка оптимизационной задачи.

4.2 Численные решения оптимизационной задачи получения огибающей сигналов с OFDM при наличии ограничений на величину пик-фактора колебаний.

4.4 Выводы по разделу 4.

5 Прием сигналов с OFDM.

5.1 Прием сигналов с OFDM при использовании блочного кодирования.

5.2 Имитационная модель передачи и приема сигналов с OFDM.

5.3 Прием сигналов с OFDM при использовании спектрально-эффективных методов манипуляции.

5.3.1 Помехоустойчивость подоптимального алгоритма приема.

5.3.2 Прием спектрально-эффективных сигналов с OFDM по алгоритму Витерби.

5.3.3 Прием спектрально-эффективных сигналов с OFDM на основе итеративного декодирования.

5.7 Выводы по разделу 5.

6. Экспериментальное исследование спектрально-эффективных сигналов с OFDM.

6.1 Описание экспериментальной установки.

6.2 Методы формирования и обработки сигналов, реализованные в экспериментальной установке.

6.2.1 Обнаружение начала пакета.

6.2.2 Оценка частотного сдвига.

6.2.3 Точная временная синхронизация.

6.2.4 Аппаратные особенности реализации методов формирования и приема спектрально-эффективных сигналов с OFDM.

6.3 Результаты экспериментальных исследований.

6.4 Выводы по разделу 6.

Введение 2009 год, диссертация по радиотехнике и связи, Рашич, Андрей Валерьевич

Актуальность темы диссертации. В сетях беспроводного широкополосного доступа применяются сигналы с ортогональным частотным мультиплексированием, которые обеспечивает высокую помехоустойчивость приема в условиях межсимвольной интерференции. Этот многочастотные сигналы широко применяются в цифровых системах передачи данных WiFi, WiMAX, DVB-T, DAB и DRM [1, 4, 7]. Планируется их использование и в сетях связи четвертого поколения LTE E-UTRA и дальнейших модификациях сетей WiMAX. Развитие сетей беспроводного широкополосного доступа происходит в направлении увеличения скорости передачи данных и повышения спектральной эффективности; повышению требований к качеству передачи сообщений.

В сетях беспроводного широкополосного доступа, где используются сигналы с ортогональным частотным мультиплексированием [6, 7, 33], применяются известные методы манипуляции (фазовая и многопозиционная фазовая, амплитудно-фазовая и др.)- Использование таких методов манипуляции предполагает такую структуру сигналов, когда на каждой поднесущей частоте применяется прямоугольная форма вещественной огибающей. Практическое удобство применения известных методов манипуляции заключается в том, что при формировании сигналов с OFDM можно использовать обратное быстрое преобразование Фурье [6, 7], легко реализуемое на микроэлектронной цифровой базе. При приеме таких сигналов реализуется алгоритм быстрого преобразования Фурье и обеспечивается когерентная обработка сообщений. Тем самым достигается практически потенциальная помехоустойчивость приема сигналов.

Основным недостатком многочастотных сигналов (сигналов с ортогональным частотным мультиплексированием или сигналов с OFDM) является высокое значение пик-фактора колебаний, что приводит к значительному недоиспользованию выходных усилителей по мощности и повышению уровня межсимвольной и внутрисимвольной интерференции. Указанный недостаток значительно ограничивает область применения сигналов с OFDM и накладывает дополнительные ограничения на скорость передачи данных и достоверность приема.

Другим недостатком этих сигналов является высокий уровень внеполосных излучений по краям занимаемой полосы частот (малая скорость спада уровня внеполосных излучений), что требует увеличения частотных ресурсов каналов передачи информации. Это оказывается серьезным препятствием на пути внедрения сигналов с OFDM в телекоммуникационные системы.

При формулировке задачи синтеза многочастотных сигналов необходимо учитывать требования на величину пик-фактора колебаний, уровень внеполосных излучений, достоверность приема и другие технико-экономические ограничения. Однако решение такой оптимизационной задачи в общем случае оказывается не возможным. Тем не менее, по крайней мере, для малого числа поднесущих частот и ограниченном числе требований на вид сигналов, такое решение представляло бы интерес для разработчиков аппаратуры беспроводного широкополосного доступа.

При большом числе (более 16) поднесущих частот используются подоптимальные методы построения сигналов с OFDM. В частности для снижения пик-фактора сигналов с OFDM применяются методы, которые можно условно разделить на три класса [8, 12, 14]:

- методы, основанные на блочном кодировании (коды, построенные на основе комплементарных последовательностей Голея, кодов Рида-Мюллера, методы добавления поднесущих),

- методы, основанные на амплитудном ограничении сигналов (клиппирование, компандирование, фильтрация),

- вероятностные методы (методы избранных отображений, неполных последовательностей, расширения сигнальных созвездий).

Существуют также методы снижения пик-фактора, основанные на комбинировании методов разных классов.

Методы снижения пик-фактора, основанные на блочном кодировании, потенциально способны решить задачу построения сигналов с OFDM с относительно низким пик-фактором, высокой скоростью кодирования и умеренной сложностью алгоритмов. Однако этот метод практически пригоден только для количества поднесущих частот не более 100 при низкой скорости кодирования (не больше 0,5) [12, 13].

Для значительного снижения пик-фактора сигналов с OFDM при большом количестве поднесущих методами блочного кодирования сохранении неизменной скорости передачи информации необходимы дополнительные поднесущие для передачи систематической части используемых кодов. Увеличение количества поднесущих в заданной полосе частот при решении задачи снижения пик-фактора сигналов с OFDM возможно путем их введения в участки* по краям полосы частот. Эти участки полосы частот, освобождаются в случае, когда на поднесущих частотах будут применяться спектрально-эффективные методы манипуляции, обеспечивающие снижения уровня внеполосных излучений.

Другим методом снижения пик-фактора колебаний является использование на каждой поднесущей частоте оптимальных сигналов, формы которых получены в результате решения оптимизационной задачи, при наличии ограничений на величину пик-фактора колебаний и уровень внеполосных излучений.

Такие подходы к снижению пик-фактора случайных последовательностей сигналов с OFDM не могут находиться в отрыве от реальных структур приемно-передающих трактов радиомодемов сигналов с OFDM. В телекоммуникационных системах передачи информации формирование и прием сигналов с OFDM осуществляется с использованием дискретного быстрого преобразования Фурье. При разработке структур предлагаемых приемно-передающих устройств, которые рассчитаны на формирование и обработку сигналов с OFDM с пониженным значением пик-фактора колебаний, идею использования дискретного быстрого преобразования Фурье целесообразно сохранить.

Анализ временных и спектральных характеристик многочастотных сигналов, учитывая большое число поднесущих частот в большие объемы канального алфавита, требует проведения большого числа статистических вычислений случайных величин. Такие вычисления возможно выполнить лишь с использованием имитационной модели радиомодема применительно к непрерывному каналу с аддитивным белым гауссовским шумом. С помощью такой модели возможно оценить помехоустойчивость приема сигналов при различных значениях пик-фактора колебаний.

Важность решения задачи снижения пик-фактора колебаний [1, 4, 25] сигналов с OFDM накладывает и требования к рассмотрению возможностей практической реализации радиомодема и определению качества приема информации.

Объектом исследования в работе являются сигналы с ортогональным частотным уплотнением (сигналы с OFDM).

Предметом исследования является величина пик-фактора сигналов с OFDM и уровень внеполосных излучений в системах беспроводного доступа при заданных способах модуляции, количестве поднесущих и полосе частот.

Целью работы является снижение пик-фактора случайных последовательностей многочастотных сигналов (сигналов с OFDM) путем применения блочного кодирования и спектрально-эффективных методов манипуляции при большом числе поднесущих частот и путем синтеза оптимальных сигналов с OFDM при малом числе поднесущих частот при сохранении высокой достоверности приема.

Для достижения данной цели в работе поставлены следующие задачи:

• Разработка методики определения групп последовательностей информационных символов, которые дают при формировании сигналов с OFDM одинаковые значения пик-фактора колебаний, и алгоритмов блочного кодирования, исключающие максимальные и близкие к ним значения пик-фактора колебаний.

• Разработка методики и алгоритмов использования спектрально-эффективных методов манипуляции и блочного кодирования для снижения величины пик-фактора колебаний в случайных последовательностях сигналов с OFDM.

• Разработка алгоритмов приема сигналов с OFDM при использовании блочного кодирования и спектрально-эффективных методов манипуляции и оценка помехоустойчивости приема.

• Постановка и решение оптимизационной задачи синтеза сигналов с OFDM при условиях ограничений на величину пик-фактора колебаний, скорость спада энергетического спектра случайной последовательности сигналов и энергию сигналов с OFDM .

• Разработка и построение радиомодема с применением сигнальных процессоров и программируемых логических интегральных схем, использующего сигналы с OFDM, имеющие пониженное значение пик-фактора колебаний и проведение экспериментальных исследований спектральных характеристик.

Структура диссертации. Диссертационная работа состоит из введения, шести разделов, заключения и приложения.

Заключение диссертация на тему "Снижение ПИК-фактора случайных последовательностей многочастотных сигналов путем применения блочного кодирования и спектрально-эффективных методов манипуляции"

6.4 Выводы по разделу 6

В результате проведенных исследований получены следующие выводы.

1. На основе цифрового сигнального процессора ТМХ320С6455 и ПЛИС Virtex4 SX35 разработана экспериментальная установка формирования и приема сигналов с OFDM с применением спектрально-эффективных методов манипуляции на поднесущих и пониженным значением пик-фактора колебаний.

2. Проведены экспериментальные исследования частотных характеристик сигналов с OFDM с применением спектрально-эффективных методов манипуляции на поднесущих на основе разработанной экспериментальной установки. Получено, что при отстройке (6/7) уровень внеполосных излучений сигналов с OFDM с прямоугольной огибающей на поднесущих на 10 дБ выше уровня внеполосных излучений спектрально-эффективных сигналов с OFDM при Nfft = 256, Nusea = 44, п = 3.

3. Проведены экспериментальные исследования методики снижения пик-фактора колебаний на основе совместного использования сигналов с OFDM с применением спектрально-эффективных методов манипуляции на поднесущих и блочного кодирования, предложенной в разделе 3. Получено, что пик-фактор сигналов с OFDM при 5% гладких огибающих в сигнале снизился на 2,1 дБ, при Nfft = 256, Nused = 44, /7 = 3.

Заключение

Научная новизна результатов диссертационной работы.

• Разработана методика определения групп последовательностей информационных символов для сигналов с OFDM с произвольным видом манипуляции и числом поднесущих частот и на основе этой методики предложены алгоритмы блочного кодирования.

• Разработана методика и алгоритмы использования спектрально-эффективных методов манипуляции для увеличения числа поднесущих частот и введения блочного кодирования для снижения пик-фактора случайных последовательностях сигналов с OFDM.

• Сформулирована и решена оптимизационная задача синтеза сигналов с OFDM при условиях ограничений на величину пик-фактора колебаний, скорость спада энергетического спектра случайной последовательности сигналов, энергию сигнала и определена помехоустойчивость приема.

• Разработаны алгоритмы формирования и приема сигналов с OFDM при использовании блочного кодирования и спектрально-эффективных методов манипуляции, построенные на основе БПФ и декодера Витерби (демодуляция по решетке) или итеративной процедуры принятия решения.

• Разработан и экспериментально исследован радиомодем, в котором используются сигналы с OFDM с блочным кодированием и спектрально-эффективными методами манипуляции, обеспечивающий пониженное значение пик-фактора колебаний.

Теоретическая значимость результатов работы заключается в том, что впервые разработаны методики структурирования множества сигналов с OFDM по значению пик-фактора, методики использования спектрально-эффективных методов манипуляции и блочного кодирования, сформулирована и решена оптимизационная задача поиска оптимальной формы сигналов на поднесущих частотах, алгоритмы формирования и обработки сигналов. Значимость полученных теоретических результатов обусловлена их новизной и дальнейшим развитием теории сигналов и передачи сообщений.

Публикации. Результаты диссертационных исследований опубликованы в 13 статьях.

Апробация результатов. Материалы диссертационного исследования апробированы на 10-й Санкт-петербургской международной конференции Межрегиональная информатика-2006, 12-й Санкт-петербургской международной конференции Межрегиональная информатика-2008, 64-й научно-технической конференции НТОРЭС им. А. С. Попова.

Реализация результатов исследований. Результаты диссертационных исследований реализованы в НИР № 01.2.00 900813 с ФГУП «НИИ «Вектор» (Санкт-Петербург), НИР № 200908902 ООО «Специальный технологический центр» (Санкт-Петербург), проводимых в Санкт-Петербургском государственном политехническом университете в 2008-2009 года. Кроме того, результаты исследований использованы в работах по федеральной целевой программе по госконтракту № 02.740.11.0467 с Федеральным агентством по науке и инновациям в 2009 году.

Пути дальнейшей реализации. Научные и практические результаты, полученные в ходе выполнения исследования, в дальнейшем могут быть использованы при создании перспективных подвижных систем связи, модернизации систем цифрового телевидения и беспроводной передачи данных.

Положения, выносимые на защиту.

• Для сигналов с OFDM с двоичной фазовой манипуляцией и восемью и шестнадцатью поднесущими предлагаемый блочный код со скоростью 0,75 позволяет снизить пик-фактор колебаний случайной последовательности сигналов на 4,5 дБ и на 5,9 дБ соответственно.

• Применение спектрально-эффективных методов манипуляции и блочного кодирования для числа поднесущих частот 100—1000 позволяет получить среднее снижение пик-фактора от 0,5 до 2,7 дБ в зависимости от количества дополнительных поднесущих (от 2 до 11) при сохранении неизменной скорости передачи информации.

• Использование на поднесущих частотах оптимальных сигналов с фазовой манипуляцией существенно снижает пик-фактор сигналов с OFDM и позволяет получить значение пик-фактора 1,4—1,6 дБ для двух поднесущих частот и 1,5—2,0 дБ для четырех поднесущих частот.

• Полученные алгоритмы приема, построенные на основе декодера Витерби (демодуляция по решетке), обеспечивают значения энергетического проигрыша, равные от 0,7 до 2,7 дБ, по сравнению с алгоритмами приема классических сигналов с OFDM.

• На основе экспериментальных исследований радиомодема, использующего блочное кодирование и спектрально-эффективные сигналы и 64 поднесущих частоты, показано, что при отстройке на величину 6IT уровень внеполосных излучений снижается на 10 дБ по отношению к уровню внеполосных излучений классических сигналов с OFDM и величина пик-фактора снижается на 2 дБ.

Методы исследования. В ходе исследований использовались методы теории вероятностей, математической статистики, теории систем сигналов, теории потенциальной помехоустойчивости, вариационного исчисления, функционального анализа, специальных функций, теории случайных процессов и математической статистики, спектрального анализа, методов вычислительной математики и программирования.

Вклад автора в разработку проблемы. Автором разработаны методики, алгоритмы блочного кодирования и применения спектрально-эффективных методов манипуляции. Разработана имитационная модель формирования и приема сигналов с OFDM. Сформулирована и решена оптимизационная задача синтеза сигналов, предложены методы приема с использованием алгоритма Витерби и итеративных процедур. В экспериментальной установке автор разработал блоки интерполяции/децимации, переноса частоты в ПЛИС, блоки формирователя спектрально-эффективных сигналов с OFDM с низким пик-фактором в сигнальном процессоре.

Обоснованность научных результатов обеспечивается применением апробированного метода численного решения оптимизационных задач, корректностью постановок и решения задач, вводимых допущений и ограничений, формулировок и выводов, комплексным использованием строгих аналитических методов исследования и подтверждается совпадением полученных результатов с известными для частных случаев.

Достоверность полученных результатов исследования определяется хорошим совпадением аналитических результатов с результатами имитационного моделирования и экспериментальных исследований.

Библиография Рашич, Андрей Валерьевич, диссертация по теме Радиотехника, в том числе системы и устройства телевидения

1. Скляр Б. Цифровая связь. Теоретические основы и практическое применение. Изд. 2-е, испр.: Пер. с англ. — М.: Издательский дом "Вильяме", 2003.-1104 с.

2. Д.Л. Бураченко, Г.Д. Заварин, Н.И. Клюев, А.А. Колесников, С.Л. Кондратьев, В.И. Коржик, Л.М. Финк. Общая теория связи. ВАС, 1970, 412 с.

3. Макаров С.Б., Цикин И.А. Передача дискретных сообщений по радиоканалам с ограниченной полосой пропускания. — М.: Радио и связь, 1988.-304 с.4. IEEE Std 802.16е™-2005.

4. Кантор Л.Я. и др. Спутниковая связь и вещание. Справочник. 2-е изд., перераб. и доп. - М.: Радио и связь, 1988. - 344 с.

5. Lawrey Е. Multiuser OFDM. // Fifth International Symposium on Signal Processing and its Applications, IS SPA r99, Brisbane, Australia, 1999.

6. Вишневский B.M., Ляхов А.И., Портной С.Л., Шахнович И.В., Широкополосные беспроводные сети передачи информации. Москва: Техносфера, 2005, 592 с.

7. L. Wang, С. Tellambura, "An Overview of peak-to-average power ratio reduction techniques for OFDM systems," Signal Processing and Information Technology, 2006 IEEE International Symposium on, Aug. 2006, Page(s): 840 -845.

8. I. Oka, "A general orthogonal modulation model for software radios," IEEE Transactions on communications, vol. 54, no. 1, January 2006.

9. S.H. Han, J.H. Lee, "An Overview of peak-to-average power ratio reduction techniques for multicarrier transmission," IEEE Wireless Communications, April 2005.

10. K. Sathananthan, C. Tellambura, "Coding to reduce both PAR and PICR of an OFDM signal," IEEE Communication letters, vol. 6, no. 8, August 2002.

11. H. Ochiai, Н. Imai, "Performance of block codes with peak power reduction for indoor multicarrier systems," IEEE VTC'98, 1998.

12. J.A. Davis, J. Jedwab, "Peak-to-mean power control in OFDM, Golay complementary sequences, and Reed-Muller Codes,'1 IEEE Transactions on information theory, vol. 45, no. 7, November 1999.

13. S. Shepherd, J. Orriss, S. Barton, "Asymptotic limits in peak envelope power reduction by redundant coding in orthogonal frequency-division, multiplex modulation," IEEE Transactions on communications, vol. 46, no. 1, January 1998.

14. A.R.S. Bahai, M. Singth, A.J. Goldsmith, B.R. Saltzberg, "A new approach for evaluating clipping distortion in multicarrier systems," IEEE Jornal on selected areas in communications, vol. 20, no.5, June 2002.

15. L. Valbonesi, R. Ansari, "Frame-based approach for peak-to-average power ratio reduction in OFDM," IEEE Transactions on communications, vol. 54, no. 9, September 2006.

16. Школьный JI.А. Оптимизация формы огибающей радиоимпульса по минимуму внеполосных излучений // Радиотехника, т. 30, N6, 1975.- С. 12-15.

17. Эльсгольц Л.Э. Дифференциальные уравнения и вариационное исчисление. М. : Изд-во. "Наука", 1965. - 424 с.

18. Antoine D. Novel Turbo-Equalization Techniques for Coded Digital Transmission, Thesis presented for the Ph. D. degree in Applied Sciences, В — 1348 Louvain-la-Neuve Belgique, 2004. -216 c.

19. P.W.J, van Eetvelt, S.j. Shepherd, S.K. Barton, "The distribution of peak factor in QPSK multi-carrier modulation," Wireless Personal Communications 2, p. 87-96, 1995.

20. H. Ochiai, H. Imai, "Performance of block codes with peak power reduction for indoor multicarrier systems," IEEE VTC'98, 1998.

21. J.A. Davis, J. Jedwab, "Peak-to-mean power control in OFDM, Golay complementary sequences, and Reed-Muller Codes," IEEE Transactions on information theory, vol. 45, no. 7, November 1999.

22. Product Specification, Fast Fourier Transform v 3.2, Xilinx LogiCORE, DS260 January 11, 2006.

23. Нормы ГКРЧ на ширину полосы радиочастот и внеполосные излучения радиопередатчиков гражданского применения от 1 июня 2003 г.

24. Рашич А. В. Моделирование радиосистем передачи информации сетей беспроводного доступа / А. В. Рашич. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №74, 2006. — 5 с.

25. Рашич А. В. Применение блочного кодирования для снижения пик-фактора сигналов с OFDM / А. В. Рашич, С. Б. Макаров // Труды СПбГТУ № 507. — СПб. : Изд-во Политехнического университета, 2008. — С. 170—178.

26. Рашич А. В. Снижение пик-фактора сигналов с ортогональным частотным уплотнением / А. В. Рашич, С. Б. Макаров // Научно-технические ведомости СПбГПУ № 2(55)/2008. — СПб. : Изд-во Политехнического университета, 2008. — С. 79—84.

27. Рашич А. В. Способы нахождения подмножеств OFDM-сигналов с одинаковым пик-фактором / А. В. Рашич, Д. С. Избенников. — Деп. В ЦВНИ МО РФ, сборник рефератов, серия Б, выпуск №83. — 2008. — 5 с.

28. Рашич А. В. Формирование подмножеств OFDM-сигналов с одинаковым пик-фактором / А. В. Рашич, Д. В. Салюк // 10-я Санкт-Петербургская международная конференция Межрегиональная информатика-2006. Труды конференции. — СПб. : СПОИСУ, 2007. — С. 152—156.

29. Феер К. Беспроводная цифровая связь. Методы модуляции и расширения спектра. М.: Радио и связь, 2000. -502 с.

30. Банкет В.Л., Дорофеев А.В. Цифровые методы в спутниковой связи. -М.: Радио и связь, 1988.- 239с.

31. Аджемов С.С., Кастейянос Г.Ц., Смирнов Н.И. Перспективы применения частотно-манипулированных сигналов с непрерывной фазой//Зарубежная радиоэлектроника.-1987.-№9.С.З-9.

32. Протопопов Л.Н. Синтез оптимальных периодических сигналов с фазовой модуляцией//Радиотехника и электроника.-1980.-Т.25, №2, С.329-335.

33. Птачек М. Цифровое телевидение. Теория и техника/ Пер. С чешек. Под ред. Л.С.Виленчика.-М.: Радио и связь, 1990,-528с.

34. Радиотехнические системы передачи информации: Учеб.пособие для вузов/ В.А.Борисов, В.В.Калмыков, Я.М.Ковальчук и др.; Под ред. В.В.Калмыкова.- М.: Радио и связь, 1990.-304 С.

35. Методы сжатия спектра цифровых видеосигналов // Техника кино и телевидения. М.: 1995. - №6.

36. Артамонов А.А., Косухин И.Л., Макаров С.Б. Спектральные характеристики случайных последовательностей зависимых ФМ-сигналов с огибающей, описываемой полиномом n-ой степени. // Техника средст связи. Серия «Техника радиосвязи», вып.8, 1990 год.

37. Сенин А.Г. К задаче синтеза оптимального радиосигнала// Радиотехника. T.22,N7,1967-C.91-96.

38. Техника электросвязи за рубежом: Справочник/ Л.И.Яковлев, В.Ф.Федоров, Г.В. Дедюкин, А.С.Немировский.-М.: Радио и связь, 1990-256с.

39. J.B. Anderson, T.Aulin, С.-Е. Sundberg. Digital phase modulation, Plenum press, N.Y.,1992.

40. Cooke R.H. A new HF Drive Unit - the HI542 / Communication & Broadcasting. - 1986.- N27.-P.31-35.

41. Systems considerations for the use of xDSL technology for data access// IEEE Communication magazine. March, 1997.

42. A.Winter. Test signals for digital television //News from Rohde & Schwarz, #150 (1996/I).-p.32-33.

43. Бураченко Д.Л. Оптимальное разделение цифровых сигналов многих пользователей в линиях и сетях связи в условиях помех—Л.: ВАС, 1990. 302 с.

44. Бураченко Д.Л., Юрченко Ю.П. Эффективность использования базы широкополосного сигнала. // Техника средств связи, сер. ТРС, 1987. №4, с. 1523.

45. Прудников А.П., Брычков Ю.А., Маричев О.И. Интегралы и ряды. -М.: Наука. Главная редакция физ-мат. литературы, 1981.

46. Бабков В.Ю., Никитин А.Н., Осенний К.Н., Сивере М.А. Системы связи с кодовым разделением каналов СПб: ТРИАДА, 2003 — 293 с.

47. Тихонов В.И. Статистическая радиотехника. 2-е изд., перераб. и доп. - М.: Радио и связь, 1982. — 624 с.

48. Пугачев B.C. Теория вероятностей и математическая статистика. — М.: Наука, 1979

49. Matlab 7.4 Help, раздел Communications Toolbox.

50. Сикарев А.А., Фалько А.И., Оптимальный прием дискретных сообщений. М., "Связь", 1978.

51. Григорьев В.К. Системы беспроводного доступа. М., Экотрендз, 2005.

52. Сюваткин B.C. WiMAX — технология беспроводной связи: основы теории, стандарты, применение. — СПб.: БХВ-Петербург, 2005. — 368 е.: ил.

53. Диксон Р.К. Широкополосные системы. Пер. с англ./Под ред. В.И. Журавлева. М.: Связь, 1979. - 304 с.

54. Варакин J1.E. Теория систем сигналов. — М.: Сов. Радио, 1978. — 304.

55. Андреев A.M., Баушев С.В., Зайцев И.Е., Яковлев А.А. Состояние теории и практики использования сигналов с частичным откликом//Зарубежная радиоэлектроника.-1992,- №9.-С.57-83.

56. Финк JI.M. Теория передачи дискретных сообщений. 2-е изд., перераб. и доп. - М. : Сов. радио, 1970. — 728 с.

57. Тихонов В.И. Оптимальный прием сигналов. М. : Радио и связь, 1983.-320 с.

58. Тихонов В.И. Статистическая радиотехника. — М. : Радио и связь, 1982.-624 с.

59. Сикарев А.А., Фалько А.И. Оптимальный прием дискретных сообщений. М. : Связь, 1978. — 328 с.

60. Левин Б.Р. Теоретические основы статистической радиотехники. Кн. 1. 2-е изд., перераб. — М. : Сов. радио, 1974. - 552 с.

61. Коржик В.И., Финк Л.М. Помехоустойчивое кодирование дискретных сообщений в каналах со случайной структурой. М. : Связь, 1975. - 272 с.

62. Артамонов А.А., Косухин И.Л., Макаров С.Б. Спектральные характеристики случайной последовательности зависимых с огибающей, описываемой полиномом n-ой степени// Техника средств связи. 1990, выпуск 2.-С.4-15.

63. Банкет В.Л, Лысенко Л.А. АФМ сигналы в системах передачи дискретных сообщений// Зарубежная радиоэлектроника.-1980- №9.-С.49-63.

64. Баушев С.В., Зайцев И.Е., Яковлев А.А. Перспективы развития сигнально-кодовых конструкций для гауссовского канала связи//3арубежная радиоэлектроника.-1990.- №1.- С. 15-31.

65. Громаков. Протоколы подвижных систем связи.-М.: Радио и связь, 1995.-205с.

66. Гуревич М.С. Спектры радиосигналов. М.: Связьиздат, 1963.- 312 с.

67. Доу С.П., Рой Д.А. Эффективность использования радиочастотного спектра с позиций теории связи// ТИИЭР.-1980.-т.68, №12.-С.10-17.

68. Егоров Е.И., Калашников Н.И., Михайлов А.С. Использование радиочастотного спектра и радиопомехи. М.: Радио и связь, 1986. - 304 с.

69. Зюко В.А. Синтез оптимальных рабочих сигналов с фиксированной энергией для корреляционных систем// Известия ВУЗов СССР. Радиоэлектроника.-1982.-т.25, №4, С.74-76.

70. Канторович JI.B., Крылов В.И. Приближенные методы высшего анализа. -M.-JL, Физматгиз, 1962. 708с.

71. Колобанова Т.С., Шульман В.Б. Три подхода к построению систем моделирования и направления развития СИАМ-ЕС // Системы моделирования в радиотехнике и связи. Новосибирск: ВЦ СО АН СССР, 1989, С.127-152.

72. Кромби Д. Эффективное использование спектра//ТИИЭР.-1980.-т.68, 12.-С.5-9.

73. Крохин В.В., Беляев В.Ю., Гореликов А.В., Дрямов Ю.А., Муравьев С.А. Методы модуляции и приема частотно-манипулированных сигналов с непрерывной фазой//Зарубежная радиоэлектроника.-1982.- №4.-С.58-72.

74. Курант Р., Гильберт Д. Методы математической физики, т.1,2-М.-Л.,Гостехиздат, 1951-476с, 544с.

75. Методы сжатия спектра цифровых видеосигналов // Техника кино и телевидения. М.: 1995. - №6.

76. С.Х.Мэзон, Г.Циммерман. Электронные цепи, сигналы и системы.: Пер. с англ./М.:Издательство иностранной литературы. 1963г.

77. Пестряков В.В., Белоцкий А.К., Журавлев В.И., Сердюков П.Н. Дискретные сигналы с непрерывной фазой: теория и практика. Зарубежная радиоэлектроника.-1988.- №4.-С. 16-37.

78. Протопопов Л.Н. Синтез оптимальных периодических сигналов с фазовой модуляцией//Радиотехника и электроника.-1980.-Т.25, №2, С.329-335.

79. Птачек М. Цифровое телевидение. Теория и техника/ Пер. С чешек. Под ред. Л.С.Виленчика.-М.: Радио и связь, 1990,-528с.

80. Радиотехнические системы передачи информации: Учеб.пособие для вузов/ В.А.Борисов, В.В.Калмыков, Я.М.Ковальчук и др.; Под ред. В.В. Калмыкова.- М.: Радио и связь, 1990.-304 С.

81. Сенин А.Г. К задаче синтеза оптимального радиосигнала// Радиотехника.т.22,Ы7,1967-С.91-96.

82. Спилкер Дж. Цифровая спутниковая связь: Пер. с англ./ Под ред. В.В.Маркова. М.:Связь, 1979. - 592с.

83. Техника электросвязи за рубежом: Справочник/ Л.И.Яковлев, В.Ф.Федоров, Г.В.Дедюкин, А.С.Немировский.-М.: Радио и связь, 1990-256с.

84. Хургин Я.И., Яковлев В.П. Финитные функции в физике и технике.-М.: Наука, 1971.-408 с.

85. Шеннон К. Работы по теории информации и кибернетике: Пер. С англ./Под. Ред. Р.Л.Добрушина и О.Б.Лупанова.-М.: ИЛ, 1963.-829с.

86. J.B. Anderson, T.Aulin, С.-Е. Sundberg. Digital phase modulation, Plenum press, N.Y.,1992.

87. Cooke R.H. A new HF Drive Unit - the HI542 / Communication & Broadcasting. - 1986,-N27.-P.31-35.

88. Improved modulation techniques for wireless communications: raised cosine filtered FQPSK FQPSK (RC). H.Yan, K.Feher// IEEE Transactions on Broadcasting, vol.43, No.2, June, 1997, p.221-225.

89. Systems considerations for the use of xDSL technology for data access// IEEE Communication magazine. March, 1997.

90. A.Winter. Test signals for digital television //News from Rohde & Schwarz, #150 (1996/I).-p.32-33.