автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.05, диссертация на тему:Синтез структур преобразователей частотно-временных параметров сигналов в код на основе нейросетевых технологий

кандидата технических наук
Челебаев, Сергей Валерьевич
город
Рязань
год
2006
специальность ВАК РФ
05.13.05
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Синтез структур преобразователей частотно-временных параметров сигналов в код на основе нейросетевых технологий»

Автореферат диссертации по теме "Синтез структур преобразователей частотно-временных параметров сигналов в код на основе нейросетевых технологий"

На правах рукописи

Челебаев Сергей Валерьевич

СИНТЕЗ СТРУКТУР ПРЕОБРАЗОВАТЕЛЕЙ ЧАСТОТНО-ВРЕМЕННЫХ ПАРАМЕТРОВ СИГНАЛОВ В КОД НА ОСНОВЕ НЕЙРОСЕТЕВЫХ ТЕХНОЛОГИЙ

Специальность: 05.13.05 - Элементы и устройства вычислительной техники и систем управления

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

Рязань 2006

Работа выполнена в ГОУВПО "Рязанский государственный радиотехнический

университет"

Научный руководитель: доктор технических наук, профессор

Локтюхин Виктор Николаевич

Официальные оппоненты: доктор технических наук, профессор

Путилин Александр Борисович;

кандидат технических наук, доцент Сафьянников Николай Михайлович

Ведущая организация: Институт проблем управления

им. В.А. Трапезникова РАН

Защита диссертации состоится "23" июня 2006 г. в & часов на заседании диссертационного совета Д 212.211.04 в ГОУВПО "Рязанский государственный радиотехнический университет" по адресу: 390005, г. Рязань, ул. Гагарина, 59/1.

С диссертацией можно ознакомиться в библиотеке ГОУВПО "РГРТУ". Автореферат разослан "_ " мая 2006 г.

Ученый секретарь диссертационного совета кандидат технических наук

А.Г. Борисов

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность проблемы. Для измерения целого ряда параметров технологических процессов (уровень физических сред, расход, плотность, давление, скорость, температура и т.д.) широко используются частотные датчики с представлением измерительных данных в виде частотно- и время-импульсных сигналов. Важным направлением кардинального повышения точности и надежности их измерения является развитие функциональных возможностей преобразователей, осуществляющих аналого-цифровое преобразование и первичную математическую переработку этих (импульсно-аналоговых) сигналов. Созданию теории, методов и средств их преобразования и математической обработки посвящены работы многих советских и зарубежных ученых: Смолова В.Б., Угрюмова Е.П., Чернявского Е.А., Карпова Р.Г., Паламарюка Г.О., Шляндина В.М., Новицкого П.В., Кнорринга В.Г., ГутниковаВ.С., Шахова Э.К., Данчеева В.П., Герасимова И.В., Сафьянникова Н.М., Вуда П., Тейлора Д. и др.

Важным фактором интеллектуализации преобразователей является развитие технологий микроэлектроники. С появлением в последние годы больших интегральных схем (БИС) с программируемой структурой, в том числе и ПЛИС (программируемые логические интегральные схемы), появились все необходимые предпосылки для расширения функциональных возможностей, а также дальнейшего улучшения технико-экономических характеристик преобразователей частотно-временных параметров измерительных сигналов (частоты, периода, временного интервала). Однако их достижение ограничивается рядом нерешенных до настоящего момента проблем, связанных с проектированием этих преобразователей. Так, известные методы преобразования импульсно-аналоговых сигналов ориентированы в основном на построение устройств на базе цифровых схем со средним уровнем интеграции. При этом их прямой перевод в среду ПЛИС, например с помощью графического редактора САПР, не учитывает возможностей современных БИС на оперативную программную перестройку их схемы на решение новой задачи преобразования, что весьма существенно для реализации преобразователей с обучаемой (перестраиваемой) структурой.

Наряду с этим также следует отметить такие проблемы, как:

- отсутствие до настоящего времени удобного для последующей реализации на ПЛИС способа математического описания (моделей) преобразователей, инвариантного к виду преобразуемой аналоговой величины. Наличие такого описания рассматривается как основа формализации синтеза этих преобразователей, позволяющего сократить сроки разработки устройств преобразования информации, особенно с увеличением сложности и перечня выполняемых ими операций;

- узкая специализация известных вычислительных и функциональных преобразователей с фиксированной структурой и вытекающая отсюда необходимость расширения их интеллектуальных возможностей, в том числе путем создания структур с изменяемыми, обучаемыми связями между элементами устройства, особенно с учетом роста применения нейросетевых технологий построения интеллектуальных систем в различных областях инфо|}р@ВД9НОДЖЗМА{)ИтМ№| >й и вычислительной техники. БИБЛИОТЕКА

С.-Петербург

оэ гообьктл'

Одним из возможных эффективных направлений решения указанных проблем является применение математического аппарата искусственных нейронных сетей (ИНС). Его использование позволяет в результате обучения нейросети получить математическое описание структуры преобразователя, отличающегося высокой однородностью составляющих его нейроэлементов и технологической простотой реализации сети на ПЛИС, в том числе путем ее представления на языках описания аппаратуры. Повышению эффективности систем обработки информации на основе ИНС посвящены работы таких известных ученых, как Галушкин А.И., Головко В.А., Круглов В.В., Ясницкий Л.Н., Мак-Каллок У., Питтс В., Розенблатт Ф., Уидроу Б., Хофф М., Хопфилд Дж., Кохонен Т. и др.

Внедрение нейросетевой организации систем в основу построения преобразователей импульсно-аналоговых сигналов в код, называемых еще импульсно-цифровыми, позволяет также существенно расширить их функциональные (интеллектуальные) возможности. В первую очередь это - обучаемость ИНС-устройства на реализацию нелинейной функции преобразования с возможностью коррекции погрешностей датчиков, подключаемых к преобразователю, а также поддержка сетью функций адаптации к входным сигналам [фильтрация помех, предсказание результата, динамическая перестройка структуры преобразователя формы информации (ПФИ) на вид определенного воздействия и т.п.].

Необходимость формализации процедуры (проведения) синтеза такого рода ПФИ во многом обусловлена тем, что традиционные приемы разработки преобразователей ориентированы в основном на реализацию простых операций. Их усложнение приводит к длительному, трудоемкому поиску наиболее целесообразного метода преобразования формы представления информации, а затем его воплощения в схему устройства на основе типовых узлов и элементов вычислительной техники (ВТ). Поэтому использование только такого подхода к их разработке является одним из сдерживающих факторов в развитии интеллектуальных ПФИ.

В связи с этим является актуальным решение такой важной научно-прикладной задачи, как эффективное использование нейросетевого функционально-логического базиса операций для описания структур импульсно-цифровых преобразователей и создание на его основе процедур их синтеза с целью упорядочивания процесса проектирования этих устройств, особенно с расширением их операционных возможностей.

Цель работы: разработка моделей и процедур синтеза структур преобразователей частотно-временных параметров сигналов в цифровой код на основе применения нейросетевых технологий, обеспечивающих сокращение сроков проектирования создаваемых устройств с расширенными функциональными возможностями при заданной точности преобразования.

Для ее достижения необходимо решить следующие основные задачи.

1. Определение основных методологических подходов и положений по применению ИНС-технологий для проектирования преобразователей импульсно-аналоговой формы представления информации.

2. Разработка методов описания процессов преобразования частоты и временного интервала в код с использованием операций математического нейрона, построение моделей нейроподобных структур преобразователей.

3. Разработка процедур (порядка и содержания этапов) синтеза нейросетевых структур преобразователей импульсно-анапоговых сигналов в код с настраиваемыми в ходе обучения сети синаптическими связями между ее нейроэлементами.

4. Исследование технических характеристик импульсно-цифровых линейных и функциональных преобразователей с нейросетевой организацией путем анализа и моделирования их основных нейроэлементов, реализация структур преобразователей на ПЛИС с учетом способов их исходного описания.

Методы исследования. Для решения поставленных задач использовались математический аппарат теории искусственных нейронных сетей, теория аппроксимации и приближения функций, основы теории вероятностей, элементы теории графов и синтеза цифровых автоматов, методы моделирования.

Достоверность полученных результатов подтверждается математическими обоснованиями, корректным использованием математического аппарата искусственных нейронных сетей и теории аппроксимации, сопоставлением альтернативных подходов, а также результатами моделирования.

Научная новизна работы состоит:

1)в разработке методологических подходов и положений по применению нейросетевых технологий для проектирования устройств преобразования частотно-временных параметров сигналов в цифровой код;

2) в разработке методов описания процессов преобразования и моделей структур преобразователей с фиксированными связями между нейроэлементами;

3) в создании моделей и процедур синтеза структур нейропреобразователей с настраиваемыми синаптическими связями, базирующихся на получении в результате обучения аппроксимирующей сети конфигурации схемы устройства с минимально возможными аппаратными затратами с обеспечением заданной точности преобразования;

4) в разработке методики структурного синтеза нейропреобразователя как цифрового автомата от этапа постановки задачи до его микроэлектронной реализации на ПЛИС.

Практическая значимость. Практическая значимость работы состоит в том, что предложенные модели и процедуры синтеза нейросетевых структур устройств преобразования формы информации являются основой создания на базе программируемых БИС линейных и функциональных преобразователей импульсно-аналоговых сигналов с расширенными функциональными возможностями и улучшенными технико-экономическими характеристиками. Предложенная с использованием нейросетевых технологий инженерная методика синтеза этих преобразователей на ПЛИС позволяет существенно упорядочить процесс и соответственно сократить сроки их проектирования.

Основные положения, выносимые на защиту.

1. Модели структур преобразователей с фиксированными связями между нейроэлементами, отличающимися высокой степенью однородности и простотой их реализации.

1. Модели и процедуры синтеза структур нейропреобразователей с настраиваемыми синаптическими связями, базирующиеся на получении в результате

обучения исходной аппроксимирующей нейросети конфигурации схемы устройства с минимальными аппаратными затратами на его последующую реализацию с обеспечением заданной точности преобразования.

3. Методы последовательного вычисления активационных функций и соответственно поддерживающие их способы каскадного включения и многотактной работы однонейронных сетей при построении структур преобразователей с существенным (почти на порядок) сокращением затрат на их реализацию.

4. Методика структурного синтеза нейропреобразователя как цифрового автомата от этапа постановки задачи до его реализации, позволяющая существенно упорядочить процесс проектирования устройств преобразования информации, сократить сроки и затраты на его разработку.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на 11-й, 12-й, 13-й и 14-й международных конференциях "Проблемы передачи и обработки информации в сетях и системах телекоммуникаций", г.Рязань, РГРТА, 2002, 2004 и 2005 гг.; 8-й, 9-й и 10-й всероссийских конференциях "Новые информационные технологии в научных исследованиях и образовании", г. Рязань, РГРТА, 2003, 2004 и 2005 гг.; всероссийских конференциях "Биотехнические, медицинские и экологические системы и комплексы", г. Рязань, РГРТА, 2002, 2004 и 2005 гг.; 10-й всероссийской межвузовской научно-технической конференции студентов и аспирантов, г. Москва, МИЭТ, 2003 г.; всероссийской научной конференции молодых ученых и аспирантов "Информационные технологии, системный анализ и управление", Таганрог, ТРТУ, 2003 г.; 10-й международной научно-технической конференции студентов и аспирантов "Радиоэлектроника, электротехника и энергетика", г. Москва, МЭИ, 2004 г.; 9-й всероссийской научно-технической конференции, г. Н. Новгород, Межрегиональное Верхне-Волжское отделение Академии технологических наук Российской Федерации (МВВО АТН РФ), 2004 г.; 38-й научно-технической конференции РГРТА, г. Рязань, 2004 г.

Публикации. Самостоятельно и в соавторстве по теме диссертации опубликовано 30 работ, в том числе 1 патент, 2 свидетельства Рособразования РФ об официальной регистрации программ для ЭВМ, 10 статей (из них 2 в центральной печати), тезисы к 16 докладам на международных и российских научных конференциях и 1 методические указания к лабораторным работам.

Структура и объем работы. Диссертация состоит из введения, четырех глав, заключения, списка литературы, включающего 110 наименований, и приложения. Основная часть работы изложена на 147 страницах машинописного текста. Работа содержит 71 рисунок и 14 таблиц.

Внедрение результатов работы. Результаты диссертационной работы нашли применение в разработках федерального государственного унитарного предприятия "Государственный рязанский приборный завод" (ФГУП ГРПЗ), а также внедрены в учебный процесс РГРТУ на кафедре биомедицинской и полупроводниковой электроники по специальности 190500 «Биотехнические и медицинские аппараты и системы» в дисциплине «Преобразователи информации», что подтверждено соответствующими актами.

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обосновывается актуальность темы, дается анализ состояния исследований и разработок, формулируются цель и задачи исследования, дается характеристика выполненной работы.

В первой главе обосновано применение базиса нейросетевых операций для проектирования преобразователей импульсно-аналоговой (х) формы представления информации в цифровую а также построены модели их структур с фиксированными связями.

Определено и обосновано такое важное методологическое положение, как интерпретация преобразователя формы представления информации х -» у в виде аппроксиматора с использованием нейроопераций для описания его моделируемой зависимости у'(х) на основе методов классической теории аппроксимации (1-й подход), например в виде кусочно-ступенчатой функции, а также в виде (2-й подход), описывающем функционирование ИНС, например 3-слойной:

/ м- А^М (1)

V r V р w )))

где F(l) + F<3) - функции активации нейронов слоев сети, образующей аппрокси-матор; - весовые коэффициенты, настраиваемые в процессе обучения; xt - входные (преобразуемый х и эталонный, опорный хт) сигналы; у' - цифровой эквивалент аналоговой величины xejr^/J (тх - временной интервал, fx - частота), представленный в виде унитарного пу или позиционного Ny кода.

Представление процесса аналого-цифрового преобразования х-*у' в виде (1) определяет основное содержание процедур синтеза структур преобразователей с использованием нейросетевых технологий. Его суть заключается в проведении обучения выбранной аппроксимирующей нейросети с целью получения конфигурации схемы устройства на решение поставленной задачи преобразования при выполнении ограничений на допустимую (заданную) погрешность 8

и заданное время Тпр^ преобразования: 8пр < 8пр^ и Гпр < Тпр^, с обеспечением минимизации показателя затрат (min <— С) на его последующую реализацию.

Предложен укрупненный порядок синтеза ПФИ (рис. 1), состоящий из 4-х этапов. На 1-м этапе осуществляется построение нейроструктуры преобразователя как аппроксиматора. Этапы 2-4 обеспечивают его схемотехническую, а затем микроэлектронную реализацию, в частности на ПЛИС.

Для первого подхода к представлению аппроксимирующей зависимости у'(х), заменяющей идеализированную линейную функцию преобразования у = тпр-х, где тпр=утак/хтах - масштаб преобразования, построен целый ряд моделей структур ПФИ с фиксированными связями, называемых нейроподобны-ми ввиду их схожести с конструкциями преобразователей, образованными в результате обучения нейросети. Их создание базируется на выборе определенных

методов представления и вычисления кусочно-ступенчатой аппроксимирующей зависимости у (х) в базисе операций математического нейрона, в основном с пороговой функцией активации.

Начало

) Постановка задачи проектирования t

1-й подход Т7

2-й подход

Выбор и обоснование нейроподобной структуры

1 1. Выбор и обоснование исходной ИНС <-

1 2 Определение базовой конфигурации структуры ПФИ как сети

X

1.3. Обучение сети

X

Оценка возможных аппаратных затрат на реализацию сети

Задание входных и выходных сигналов структуры с помощью матриц эквивалентных им логических сигналов

X

Представление нейросетевых операций в логическом базисе, проведение структурного синтеза цифровых автоматов, реализующих эти операции

X

Реализация преобразователя на конкретной элементной базе

X

Оценка аппаратных затрат на микроэлектронную реализацию преобразователя

1-й этап

2-й этап

3-й этап

4-й этап

С^ Конец

Рис. 1

Предложено использование функции активации Rae (остаток от деления) для создания структур нейропреобразователей х —> y'N с двоично-позиционным кодированием результата y'N = ßmßm_v-ß[ ■ Так, модель нейроподобной структуры преобразователя (рис. 2), осуществляющего получение y'N, начиная с младшего разряда Д, через промежуточное вычисление число-импульсного кода пч = a(l[l]«(l[2]...«<,[£ -1], имеет описание в виде следующей совокупности нейро-операций:

1, если дг-£Дх„[/]>0,

«оЫ =

О, если х-£д*о[/]<0,

ß У) = «м У)/2j, а,[у] = Д [/]- а,_, [/],

где j = 1, 2,..., s \ s — Ent{x//bx0}; j e {l, 2,3,..., 2m -1}; Ax0 = x/* = consl; / = 1, 2,..., d - номера разрядов Д; d e {l, 2,..., ш}; a0[0]=0; Д[0]=0.

0-й каскад

1-й каскад

2-й каскад

ЦУ2 НУ„

_П->а2 ••• _Г}->а„

Выход уы = /?„/?„_, ..Д

Рис.2

Образованный ряд нейроподобных структур в основном ориентирован на выполнение линейных операций преобразования частоты /х и временного интервала тх в код, причем с малыми аппаратными затратами. Однако они характеризуются наличием фиксированных неизменяемых связей между нейронами, что затрудняет оперативную перестройку схемы на реализацию новой функции преобразования.

Во второй главе разрабатываются модели и процедуры синтеза структур нейропреобразователей с настраиваемыми в ходе обучения сети синаптическими связями (на примере персептронных сетей).

В виде детализированной процедуры определено содержание 1-го этапа синтеза нейропреобразователей (см. рис. 1) как последовательности действий - этапов 1.1 + 1.3, которые далее иллюстрируются на примере построения ПФИ х у'„ с унитарным кодированием его результата у\ - у/11//2..л//к по принципу классификатора, для которого целесообразно применение персептронных сетей.

На этапе 1.1 определяются вид исходной сети, количество ее слоев, структура связей между ними, разновидности применяемых активационных функций. Первоочередность и обоснованность выбора архитектуры сети предопределяют направление успешного выполнения поставленной задачи преобразования.

Для нахождения значений бит (/ = 1, 2,..., к) кода у* обосновано применение двухслойного персептрона, имеющего следующее матричное описание:

где X - матрица-строка входных аналоговых сигналов сети; 1У{1) и - матрицы весовых коэффициентов между распределительным (0-м) и скрытым (1-м), скрытым и выходным (2-м) слоями сети соответственно; и 2{2) - матрицы выходных сигналов скрытого и выходного слоев сети соответственно, а /г<" и - функции активации нейронов этих слоев, например для 1-го слоя:

[1, если >0,(|>; [0 иначе-,

(2)

где - взвешенная сумма аналоговых значений / = 1...//,;

ц0 и - число нейронов 0-го и 1-го слоев соответственно.

Наряду с (3) для синтеза импульсно-цифровых преобразователей предложено использование на 1 -м слое пороговой функции активации с импульсной формой представления ее результата: /r<I)(s,(,)[fl>])=l(/v), если 0 иначе; где S((l>[iv] - значение аналоговой суммы на входе порогового элемента нейрона в дискретный момент времени (такт) tv=vTa, v - номер такта преобразования длительностью Т0, / = 1...//,.

На этапе 1.2 определяются число, вид и специфика представления входных X и выходных Z сигналов нейросетевой модели преобразователя и ее нейроэлементов, их количество во всех слоях сети, а также виды возможной технологии задания весов и организации синаптических связей w™ • дсу между элементами. Так, число к нейронов выходного слоя, необходимое для обеспечения максимальной приведенной погрешности 8 = Дутач /_утах линейного преобразования д(-> у'„, не превышающей допустимого значения 8, выбирается из соотношения к > Int\}/8„Pm), где Int - операция определения ближайшего большего целого. Итогом данного этапа является представление исходного аналитического и матричного описания функционирования всей сети как ИНС-аппроксиматора (рис. 3), характеризующегося возможностью его обучения конкретной задаче линейного или функционального преобразования. На рис. 3 над линиями синаптических связей ПФИ обозначены: слева - наименования весовых коэффициентов \v(j], а справа - их значения, например -1, для конкретной линейной операции преобразования Значения порогов в^ для персептронных нейронов указаны над знаком порога в правом верхнем углу их изображений.

1-й слой 2-й слой

(скрытый) (выходной)

0-й слой (распределительный)

Выход

>>С = ¥,¥г-¥к

Рис.3

Для рассматриваемого примера матрицы входных и выходных сигналов ИНС-преобразователя принимают вид: X - [х,, х2 ] = [х, Д*0 ] и

1{2) =(г[2), г'2),.., 1//2, ,у/к), где х, = х - входная преобразуемая пере-

менная, х е {гл,}; хг - опорная величина хт или эталон, определяющий меру

преобразования. Он задается в соответствии с таблицей. Для структуры ПФИ (см. рис. 3) значение хоп = Ах0 = х^/к.

Для 2-слойной структуры ПФИ х -» у\ (см. рис, 3) время преобразования Тпр находится в виде суммы составляющих Т^ и Т™ на ее слоях:

(1> {+ тахГГ I,

где - время получения одноразрядного цифрового эквивалента = {0,1} на основе аналоговых величин х и Дх0;

Т^ - время вычисления разряда у/, на основе значений г^ ( г = [1, //, ]).

Виды сигналов хоп для ИНС-преобразователей частоты /х и временного интервала тх в код

Форма представления * .....' . г/;*,. тто , ' "Ш'Щ Вйд сигнала х' и его значение* ...... . #4 ,< ^¿^¿азовагеля/»:

Временной интервал тх Период Т^^г^/к Циклический

Частота /0 =

Частота /х Частота/0 = /к, /0 = Непрерывный

Интервал т„ = к/ fx Циклический

На этапе 1.3 осуществляются выбор алгоритма обучения сети на решение поставленной задачи преобразования, а затем его проведение с получением структуры и весов синаптических связей между ее нейронами - как итогового результата построения нейросетевой модели структуры преобразователя. Обучение 2-слойного персептрона осуществляется на основе алгоритма обратного распространения ошибки.

Найденные при этом значения порогов 0Г(|) =0 (г = [1, к\), в\г) = 0 и 0,(2) = 1 (г =[2, А:]) нейронов 1-го и 2-го слоев ИНС-преобразователя, а также веса синаптических связей сети, отраженные матрицами 0/|1)г и ¡у(1)Т, для операции линейного преобразования х у'П имеют вид:

F(»r =

1 -1 1 -2

I -к

J-1 О О О 1-10 0

ООО ООО

О 0 0 0 ... О 1 -1

Синтез нейросетевой структуры преобразователя на этапе обучения сети прямого распространения осуществляется с использованием сформулированной целевой функции (min С) проектирования:

C-tiVV-vyycW+Mrcß,

i=i

где V(l) - общее количество синаптических связей, идущих от (/ - l)-ro слоя сети к / -му, из них К/" - количество единичных связей; и cff - затраты на pea-

лизацию синаптической связи, идущей к нейрону 1-го слоя, и его активационной функции соответственно; //, - число нейронов /-го слоя; £ - число слоев ИНС-аппроксиматора. Ее введение позволяет минимизировать возможные аппаратные затраты на последующую реализацию преобразователя.

На основе 1-й 3-слойной персептронных сетей также синтезированы структуры ПФИ х->у' с унитарным число-импульсным у'„ = а1а2..ххк_1 и позиционным у» = -..Д кодированием результата. Показана возможность их обучения на реализацию операций нелинейного преобразования.

Предложены методы последовательного вычисления активационных функций нейроаппроксиматоров и соответственно поддерживающие их способы каскадного включения однонейронных сетей при построении структур преобразователей. Их применение позволяет существенно (почти на порядок) сократить затраты на реализацию преобразователей. Наряду с этим обоснована целесообразность использования нейронов с функциями активации с переменным значением порога 0,<о = х. На их основе построены нейроструктуры ПФИ ду'„ (рис. 4) с унитарным кодированием результата у'п ~ахаг..ак_х, образованные путем каскадного включения (к -1) однонейронных персептронов:

а, •5,(М' + < Лх0,4 / = 1, 2..... к-1, (4)

где 5,(0) =0, = и^' • 5*'"" + и^'/ • Дх0 - частичная сумма аналоговых величин.

Обучение преобразователя, реализующего совокупность зависимостей вида (4), сводится к последовательному обучению однонейронных персептронов, начиная с первого.

------------Илий- . ■---------------

Первый каскад Второй каскад

Рис.4

В результате синтеза сформированы многотактные однонейронные структуры преобразователей циклического принципа действия, отличающиеся значительно меньшими (на порядок и более) аппаратными затратами на их реализацию по сравнению с типичными многослойными и многокаскадными персептронны-ми ПФИ (см. рис. 2 и 4) за счет организации последовательной во времени (по-тактной) работы сети в виде одного слоя или каскада.

В третьей главе исследуется возможность применения рекуррентных и гибридных сетей для синтеза структур импульсно-цифровых преобразователей, а также предлагается методика их синтеза как цифрового автомата.

Общность свойств формирования результата измерения (преобразования) во времени и функционирования рекуррентных сетей обосновывает целесообразность эффективного применения этих сетей для построения преобразователей с частотной и время-импульсной формой представления преобразуемого сигнала.

С целью упрощения структур ПФИ, полученных на базе этих сетей, предлагается декомпозировать преобразователь на две нейросетевые компоненты с последующим их синтезом на основе предложенной процедуры (этапы 1.1 - 1.3). В качестве первой компоненты выступает однослойная персептронная сеть х —> у'п \ИТа ] с число-импульсным кодированием промежуточного результата

у*[/г7,С)]=^а0[Л7^]) а в качестве второй - двухслойная рекуррентная сеть, осу-н

ществляющая операцию последовательного во времени преобразования у'ХкТ^]-* у» значений число-импульсного эквивалента

у'п[мо]=ао17о}жо[27о1"0;о[(л -•Хо] в позиционный код . Ее вторая компонента имеет следующее матричное описание:

где ^,[ЛГ0]=[^1[(А-1)Г0],...,^(1,[(Л-1)701 а„[ЛГ0]]; Т0 - период дискретизации; К[ЬТА = (р\ИТ0\ - > РАьТ0])- А - номер такта преобразования.

Структура ПФИ х ->■ у'ы показана на рис. 5, на котором запоминающий элемент обозначен как "ЗЭ". Декомпозиция ИНС-преобразователя позволяет улучшить сходимость его обучения в целом.

2-я компонента

0-й слой 1-й слой 2-й слой

С точки зрения аппаратных затрат для ПФИ с позиционным способом кодирования результата в зависимости от погрешности преобразования найдены функции выигрыша применения рекуррентных сетей в сравнении с персептрон-ными. Показано, что общее количество нейронов для 10- и 16-разрядных преобразователей уменьшается в них соответственно в 20 и 500 раз.

Наряду с этим рассмотрена возможность построения ПФИ на основе ради-ально-базисных (ЯВР) сетей, достоинством которых является отсутствие скрытых слоев, что упрощает процедуру их обучения. Предложено использование функции активации нейронов с вычислением под экспонентой разности первой степени, показана эффективность ее применения. Построена двухкомпонентная (гибридная) структура функционального преобразователя, состоящая из ЯВЕ- и рекуррентной сетей, осуществляющих операции функционального х —> /(х) и

линейного /(*)—>}>„ преобразования соответственно.

Разработана и реализована методика структурного синтеза нейропреобразо-вателей импульсно-аналоговых сигналов как цифрового автомата. Содержание его четырех этапов (см. рис. 1) далее иллюстрируется для преобразователя временного интервала тх в унитарный код пу = я,а2 .

В результате проведения 1 -го этапа как последовательности действий 1.1-1.3 (см. рис. 1) производится (при заданной точности получения результата преобразования) синтез нейросетевой структуры ПФИ тх -> пу с представлением входных и выходных переменных в виде физических величин.

На 2-м этапе формируется матричное представление входов-выходов /-х нейронов (/ = [1, к -1]) структуры:

Г4.-л II 1ГЛ.Г» II

в котором аналоговые величины тх, Л,_,г0 и А,г0 описываются в виде соответствующих им логических сигналов гг, еЛ1ГД/(] и <?Д(Гв[/,], отражающих двоичную

логику формирования преобразуемых частотных и время-импульсных сигналов.

На 3-м этапе формируется граф описания /-го нейрона ПФИ г, -> пу как

структурного автомата (рис. 6). На 4-м этапе на его основе составляется описание /'-го нейрона ПФИ г, -> пу, а также преобразователя в целом на языке описания аппаратуры УЬШЬ либо в виде логической схемы.

Гц

о

А'» (1)

Проведена экспериментальная проверка работоспособности синтезированных нейро-преобразователей путем их верификации средствами программного обеспечения ХШпх 18Е 4.2 для ПЛИС фирмы ХШпх, осуществляющего моделирование полученных схем.

Показано влияние вида сети на функциональные (интеллектуальные) возможности ПФИ. Так, персептронные сети эффективны для построения преобразователей с унитарным кодированием результата. Для синтеза линейных преобразователей частоты и временного интервала в код с позиционным способом кодирования целесообразно применение рекуррентных сетей, а для функциональных — рекуррентных и радиально-базисных, а также их комплексирование. Приведены характеристики производительности преобразователей на ПЛИС в зависимости от погрешности преобразования. Так, применение ПЛИС фирмы ХШпх, особенно серий У111ех2, У1Лех4 и Браг1ап2 с тактовыми частотами до 200+500 МГц, позволяет существенно (почти на порядок) уменьшить время преобразования импульсно-цифровых ПФИ, строившихся ранее на схемах со средним

уровнем интеграции.

Дан пример синтеза на ПЛИС сигнализатора уровня электропроводных сред как нейропреобразователя частоты в унитарный код. Его обучение производилось на основе тарировочных (реальных) характеристик частотного (резонансного) датчика уровня.

Для варианта совместной реализации системы обучения и нейропреобразователя на ПЛИС с целью уменьшения затрат времени на формирование и загрузку новых весов его синаптических связей предложен псевдоассоциативный процессор (патент № 2248037).

В четвертой главе произведен анализ основных характеристик нейроэлементов и определено их влияние на выбор структуры ИНС-преобразователя.

Найдены зависимости для определения дисперсии выходного сигнала нейрона-сумматора двух частот, нейрона-сумматора двух кодо-управляемых частот, а также выходного сигнала каскадного включения нейронов с синаптическими связями на основе формирования кодо-управляемых частот.

Получена передаточная функция нейронов-умножителей частоты на цифровой код как одного из вариантов реализации динамически перестраиваемой си-наптической связи. Найдены аналитические выражения для оценки времени преобразования синтезированных ИНС-устройств в зависимости от заданной точности формирования результата и формы представления входных сигналов.

Для нахождения характеристик ИНС-преобразователей применено также имитационное моделирование их элементов с частотно-импульсной формой представления выходных сигналов. Так, имитационная модель каскадного включения нейронов с синаптическими связями, реализуемыми на основе цифро-частотных умножителей (ЦЧУ) показана на рис. 7. В ней моментам появления единичных импульсов, интенсивность появления которых в ЦЧУ отражают их выходные частоты //", .. , /г(т) 1, . ., т-го звеньев каскада, пропорциональные входным управляющим п-разрядным кодам Nxl = а^а™ ..л™, ..., Ы1 т = а1т)а\т)...а1^, в моделирующей системе соответствуют транзакты Тр{, ...,

Трт. Модель состоит из сегментов преобразования разряда а)" позиционного кода Ых (/ = 1,2,..., п,) = 1,2,..., т) в транзакты Тр\п, соответствующие импульсному сигналу частоты = /г°"1) -(я(0)/2'), где /г(0) =/пих, приборов /VI С, и сегмента-таймера, осуществляющего продвижение модельного времени /„„о

г

о 1

а

Сегмент?у\

7*"

Сегмент?', 1 И .

а',!' 11

Сегмент'

нМ'"

♦ ЙЮ

Н РАС

! 7>,

Сегмент.

Сегмент1'

17Й»

а" |^¡Сегмент!2'

Ы2>

и*

■ТРг РАС, ь ►

Рис.7

В результате моделирования получена зависимость дисперсии <т2 выходной частоты fг для 3-входового нейрона-сумматора кодо-управляемых частот. Анализ значений а2 показывает, что при синтезе таких нейронов для сокращения времени преобразования следует уменьшать интервал неравномерности выходной частоты /_, в том числе за счет уменьшения разрядности кодов, задающих значения синаптических связей ИНС-преобразователя.

Две программы по моделированию устройств преобразования зарегистрированы в Отраслевом фонде алгоритмов и программ РФ -№ 4785 и № 5038.

В заключении приведены основные результаты диссертационной работы

1. Разработаны основные методологические подходы и положения по применению нейросетевых технологий при проектировании устройств преобразования частотно-временных параметров сигналов в цифровой код, определяющие организацию и упорядочивающие синтез новых вариантов их структур.

2. Разработаны методы описания процессов преобразования и моделей структур преобразователей с фиксированными связями между нейроэлементами, отличающихся высокой степенью однородности и простотой их реализации

3. Созданы модели и процедуры синтеза структур нейропреобразователей с настраиваемыми синаптическими связями, базирующиеся на получении в результате обучения аппроксимирующей сети конфигурации схемы устройства с минимально возможными аппаратными затратами на его последующую реализацию с обеспечением заданной точности.

4 Разработаны методы последовательного вычисления активационных функций и соответственно поддерживающие их способы каскадного включения и многотактной работы однонейронных сетей для построения моделей структур преобразователей с существенным (почти на порядок) сокращением аппаратных затрат на их реализацию.

5. Определены особенности организации и синтеза структур ИНС-преобразователей на основе рекуррентных и гибридных сетей как одного из направлений снижения затрат на реализацию заданной операции преобразования и расширения их функциональных возможностей.

6. Разработана методика структурного синтеза нейропреобразователя как цифрового автомата от этапа постановки задачи до его микроэлектронной реализации на ПЛИС, обеспечивающая существенное упорядочивание процесса проектирования устройств преобразования информации, сокращение сроков и затрат на их разработку.

7. Проведены анализ и моделирование основных нейроэлементов и учтены их свойства при проектировании ИНС-преобразователей.

Список публикаций

1. Волковыский В.Л., Челебаев C.B. Оптимизация выполнения арифметических операций в машинах баз данных // Проблемы передачи и обработки информации в сетях и системах телекоммуникаций: Материалы 11-й международной науч.-техн. конф. Рязань: РГРТА, 2002. С. 48-50.

2. Челебаев C.B., Волковыский В.Л. Применение псевдоассоциативного ЗУ в компьютерных системах обработки биомедицинской информации // Биотехнические, медицинские и экологические системы и комплексы: Материалы всероссийской науч.-техн. конф. Рязань: РГРТА, 2002. С. 81-82.

3. Челебаев C.B., Волковыский В.Л. Оптимизация выполнения поисковых операций в машинах баз данных // Вестник РГРТА. Выпуск 11. Рязань, 2003. С. 50-53.

4. Челебаев C.B., Локтюхин В.Н. Анализ погрешности аналого-цифрового преобразования сигналов, модулированных по прямоугольной форме // Новые информационные технологии в научных исследованиях и образовании: Материалы 8-й всероссийской науч.-техн. конф. Рязань: РГРТА, 2003. С. 124-125.

5. Челебаев C.B. Элементы синтеза преобразователей импульсно-аналоговых сигналов на ПЛМ // Микроэлектроника и информатика - 2003. Десятая всероссийская межвузовская научно-техническая конференция студентов и аспирантов: Тезисы докладов. М.: МИЭТ, 2003. С. 94.

6. Челебаев C.B., Локтюхин В.Н. Анализ погрешности при суммировании двух частотных сигналов // Физика полупроводников. Микроэлектроника. Радиоэлектронные устройства: Межвуз. сб. науч. тр. Рязань, 2003. С. 31-37.

7. Челебаев C.B. Анализ статистических характеристик суммирования кодо-управляемых частотных сигналов // Физика полупроводников. Микроэлектроника. Радиоэлектронные устройства: Межвуз. сб. науч. тр. Рязань, 2003. С. 40-46.

8 Челебаев C.B. Анализ импульсно-цифровых вычислительных преобразователей на основе их функционально-логического моделирования // Информационные (ехнологии, системный анализ и управление. Всероссийская научная конференция молодых ученых и аспирантов' Тезисы докладов. Таганрог: ТРТУ, 2003. С. 31-33.

9. Челебаев C.B. Функциональное моделирование цифро-частотных сглажи-вающе-преобразующих устройств // Информатика и прикладная математика: Межвуз. сб. науч. тр Ряз. гос. пед. ун-т им. С.А. Есенина. Рязань, 2003. С. 61-64.

10. Челебаев C.B. Функциональная модель преобразователя "код-частота" на основе интегратора параллельного типа // Проблемы передачи и обработки ин-

формации в сетях и системах телекоммуникаций: Материалы 12-й международной науч.-техн. конф. Рязань: РГРТА, 2004. С. 59-61.

11. Челебаев C.B. Частотно-импульсная модель нейроузла на основе линейных преобразователей "код-частота" // Радиоэлектроника, электротехника и энергетика. Десятая междунар. науч.-техн. конф. студентов и аспирантов: Тез. докл. В 3-х т. М.: МЭИ, 2004. Т. 1. С. 354-355.

12. Челебаев C.B., Локтюхин В.Н. Функционально-логическая модель цифро-частотных суммирующе-преобразующих устройств // Информационные технологии в проектировании: Межвуз. сб. науч. тр. РГРТА. Рязань, 2004. С. 73-79.

13. Локтюхин В.Н., Челебаев C.B. Персептронная модель преобразователя частоты в код на основе принципа взвешенного кодирования Н Новые информационные технологии в научных исследованиях и образовании: Тезисы докладов 9-й всероссийской науч.-техн. конф. Рязань: РГРТА, 2004. С. 57-58.

14. Челебаев C.B. Модель каскадного включения преобразователей "код-частота" для варианта однолинейной нейронной сети // Методы и средства измерений физических величин: Материалы 9-й всероссийской научно-технической конференции Н. Новгород: Межрегиональное Верхне-Волжское отделение Академии технологических наук Российской Федерации (МВВО АТН РФ), 2004 г. С. 38.

15. Челебаев C.B. Особенности анализа статистических характеристик импульс-но-цифровых преобразователей при их каскадном включении // Физика полупроводников. Микроэлектроника Радиоэлектронные устройства: Межвуз. сб. науч. тр. Рязань, 2004. С. 49-59.

16. Локтюхин В.Н., Челебаев C.B. Использование базиса искусственных нейронных сетей для построения измерителей временных интервалов // Биотехнические, медицинские и экологические системы и комплексы: Тезисы докладов всероссийской науч.-техн. конф. Рязань: РГРТА, 2004. С. 153-154.

17. Челебаев C.B. Анализ погрешности цифроуправляемого генератора частоты // Проблемы передачи и обработки информации в сетях и системах телекоммуникаций: Материалы 13-й международной науч.-техн. конф. Рязань: РГРТА, 2004. С. 88-90.

18. Челебаев C.B. Нахождение передаточной функции импульсно-цифровых преобразователей типа "код-частота" // Информационные технологии моделирования и управления: Междунар. сб. науч. тр. Выпуск 18. Воронеж: Научная книга, 2004. С. 47-51.

19. Пат. 2248037. Российская Федерация, МПК G 06 F 15/00. Псевдоассоциативный процессор [Текст] / Волковыский В.Л., Челебаев C.B.; Заявитель и патентообладатель РГРТА. № 2003100459/09. Бюл. № 7 от 10.03.2005. 2 е.: ил.

20. Челебаев C.B., Локтюхин В.Н. Персептронные модели аналого-цифровых преобразователей // Новые информационные технологии в научных исследованиях и образовании. Тезисы докладов 10-й всероссийской науч.-техн. конф. Рязань: РГРТА, 2005. С. 99-101.

21. Свидетельство об отраслевой регистрации разработки № 4785. Программа для функционально-логического моделирования комбинированного включения

преобразователей кода в частоту и частоты в код / Челебаев C.B.; Правообладатель РГРТА. дата регистрации 17.05.05; дата выдачи 15.06.05.

22. Свидетельство об отраслевой регистрации разработки № 5038. Программа для нахождения передаточной функции преобразователя кода в частоту / Челебаев C.B.; Правообладатель РГРТА. дата регистрации 14.07.05; дата выдачи 27.07.05.

23. Локтюхин В.Н., Челебаев C.B. Нейросетевые модели преобразователей частоты в унитарный код Н Электроника: Межвуз. сб. науч. тр. Рязань, 2005. С. 110-118.

24. Локтюхин В.Н., Челебаев C.B. Об одном варианте построения трехслойной персептронной структуры линейного АЦП // Информатика и прикладная математика: Межвуз. сб. науч. тр. Рязань: РГПУ, 2005. С. 146-150.

25. Локтюхин В.Н., Челебаев C.B. О возможности применения аппарата ИНС для построения преобразователей импульсно-аналоговых сигналов в код // Проблемы передачи и обработки информации в сетях и системах телекоммуникаций: Материалы 14-й международной науч.-техн. конф. Рязань: РГРТА, 2005. С. 97-98.

26. Челебаев C.B. Об одном варианте построения трехслойной персептронной модели структуры нелинейного АЦП // Проблемы передачи и обработки информации в сетях и системах телекоммуникаций: Материалы 14-й международной науч.-техн. конф. Рязань: РГРТА, 2005. С. 102-104.

27. Локтюхин В.Н., Челебаев C.B. О возможности построения нейропреобразова-телей частотно-временных параметров биосигналов // Биотехнические, медицинские и экологические системы и комплексы: Тезисы докладов всероссийской науч.-техн. конф. Рязань: РГРТА, 2005. С. 87-88.

28. Челебаев C.B. Применение двухслойного персептрона для анализа частотных параметров биосигналов // Биотехнические, медицинские и экологические системы и комплексы: Тезисы докладов всероссийской науч.-техн. конф. Биотехнические, медицинские и экологические системы и комплексы. Рязань: РГРТА, 2005. С. 88-90.

29. Локтюхин В.Н., Челебаев C.B., Шемонаев Н.В. Проектирование цифровых устройств на основе САПР фирмы Xilinx: Метод, указания к лаб. работам: Рязань, РГРТА, 2005.24 с.

30. Локтюхин В.Н., Челебаев C.B. Принципы применения технологии искусственных нейронных сетей для проектирования преобразователей частотно-временных параметров сигналов в код // Датчики и системы. №2. 2006. С. 43-56.

Тираж 100 экз. Заказ 273. Участок оперативной полиграфии Рязобхпсомстата. 390013, Рязань, ул. Типаиова, 4.

tUMj »12028

Оглавление автор диссертации — кандидата технических наук Челебаев, Сергей Валерьевич

ВВЕДЕНИЕ

Глава 1. ОБОСНОВАНИЕ ПРИМЕНЕНИЯ БАЗИСА НЕЙРОСЕ-ТЕВЫХ ОПЕРАЦИЙ ДЛЯ ПРОЕКТИРОВАНИЯ ПРЕОБРАЗОВАТЕЛЕЙ ЧАСТОТНО-ВРЕМЕННЫХ ПАРАМЕТРОВ СИГНАЛОВ, МОДЕЛИ ИХ СТРУКТУР С ФИКСИРОВАННЫМИ СВЯЗЯМИ

1.1. Состояние применения ИНС для построения преобразователей формы представления информации

1.2. Концепция и направления приложения ИНС-технологий для проектирования преобразователей формы информации (ПФИ)

1.3. Методы описания процессов преобразования и модели нейроподобных структур преобразователей аналоговой величины х в унитарный цифровой эквивалент уп

1.4. Подходы к описанию нейроподобных структур преобразователей с позиционным кодированием результата

1.5. Основные рекомендации по применению нейроподобных структур преобразователей д: —> у*п и д: —> y*N

Глава 2. РАЗРАБОТКА МОДЕЛЕЙ И ПРОЦЕДУР СИНТЕЗА

СТРУКТУР НЕЙРОПРЕОБРАЗОВАТЕЛЕЙ ИМПУЛЬС-НО-АНАЛОГОВЫХ СИГНАЛОВ С ФУНКЦИЯМИ ОБУЧЕНИЯ (на примере сетей прямого распространения)

2.1. Процедура синтеза преобразователей импульсно-аналоговых сигналов в цифровой код с функцией обучения

2.2. Целевая функция проектирования (синтеза) структур преобразователей с минимальными аппаратными затратами

2.3. Модели и процедуры синтеза структур ПФИ на базе многослойных персептронных сетей

2.4. Модели нейросетевых структур преобразователей с последовательным вычислением базовых (активационных) функций

Глава 3. ИССЛЕДОВАНИЕ ВОЗМОЖНОСТЕЙ ПРИМЕНЕНИЯ

РЕКУРЕНТНЫХ И ГИБРИДНЫХ СЕТЕЙ ДЛЯ ПО-^ СТРОЕНИЯ СТРУКТУР ИМПУЛЬСНО-ЦИФРОВЫХ

ПРЕОБРАЗОВАТЕЛЕЙ, МЕТОДИКА ИХ СИНТЕЗА КАК

ЦИФРОВОГО АВТОМАТА

3.1. Принципы применения рекуррентных нейронных сетей для синтеза структур преобразователей импульсно-аналоговой информации

3.2. Принципы применения гибридных нейронов для проектирования многокаскадных нейропреобразователей с позиционным кодированием

3.3. Особенности построения импульсно-цифровых функ-^ циональных преобразователей на основе радиально

Ф базисных сетей

3.4. Методика синтеза нейропреобразователей импульсно-аналоговых сигналов как цифрового автомата

3.5. Основные принципы реализации и верификации преобразователей с функциями обучения на ПЛИС, их внедрение

Глава 4. АНАЛИЗ И МОДЕЛИРОВАНИЕ ОСНОВНЫХ ХАРАК-^ ТЕРИСТИК НЕЙРОЭЛЕМЕНТОВ И ОЦЕНКА ИХ

ВЛИЯНИЯ НА ВЫБОР СТРУКТУРЫ

ИНС-ПРЕОБРАЗОВАТЕЛЯ

4.1. Анализ нейроузлов ИНС-преобразователей "аналог —>

4.2. Применение имитационного моделирования для анализа нейроузлов импульсно-цифровых преобразователей

Введение 2006 год, диссертация по информатике, вычислительной технике и управлению, Челебаев, Сергей Валерьевич

Актуальность проблемы. Для измерения целого ряда параметров технологических процессов (уровень физических сред, расход, плотность, давление, скорость, температура и т.д.) широко используются частотные датчики с представлением измерительных данных в виде частотно- и время-импульсных сигналов. Важным направлением кардинального повышения точности и надежности их измерения является развитие функциональных возможностей преобразователей, осуществляющих аналого-цифровое преобразование и первичную математическую переработку этих (импульсно-аналоговых) сигналов. Созданию теории, методов и специализированных средств их преобразования и математической переработки посвящены работы [1 - 12] многих советских и зарубежных ученых: Смолова В.Б., Угрюмова Е.П., Карпова Р.Г., Паламарюка Г.О., Шлянди-наВ.М., Новицкого П.В., Кнорринга В.Г., Гутникова B.C., Шахова Э.К., Дан-чеева В.П., Герасимова И.В., Сафьянникова Н.М., Вуда П., Тейлора Д. и др.

Одной из первопричин интеллектуализации преобразователей является развитие технологий микроэлектроники. С появлением в последние годы БИС и СБИС с программируемой структурой, в том числе и ПЛИС (программируемые логические интегральные схемы), появились все необходимые предпосылки для расширения функциональных возможностей, а также дальнейшего улучшения технико-экономических характеристик преобразователей частотно-временных параметров измерительных сигналов. Однако их достижение ограничивается рядом нерешенных до настоящего момента проблем, связанных с проектированием этих преобразователей. Так, известные методы преобразования импульсно-аналоговых сигналов ориентированы в основном на построение устройств на базе цифровых схем со средним уровнем интеграции. При этом их прямой перевод в среду ПЛИС, например с помощью графического редактора САПР, не учитывает возможностей современных БИС на оперативную (программную) перестройку их схемы на решение новой задачи преобразования, что весьма существенно для реализации преобразователей с обучаемой (перестраиваемой) структурой.

Наряду с этим также следует отметить такие проблемы, как:

- отсутствие до настоящего времени удобного для последующей реализации на ПЛИС способа математического описания (моделей) преобразователей, причем инвариантного к виду преобразуемой аналоговой величины. Наличие такого описания рассматривается как основа формализации синтеза этих преобразователей, позволяющего'сократить сроки разработки устройств преобразования информации, особенно с увеличением сложности и перечня выполняемых ими операций;

- узкая специализация известных вычислительных и функциональных преобразователей с фиксированной структурой и вытекающая отсюда необходимость расширения их интеллектуальных возможностей по пути создания структур с изменяемыми, обучаемыми связями между элементами устройства, особенно учитывая рост применения нейросетевых технологий построения интеллектуальных систем в различных областях информационно-измерительной и вычислительной техники.

В связи с этим является актуальным разработка специальных нейрочипов, ориентированных на преобразование аналоговых величин, представленных в виде значений частоты, периода или временного интервала, в их цифровой эквивалент, отображаемый обычно посредством унитарного или двоичного позиционного кода. Их создание приводит к необходимости формализации процесса проектирования (синтеза) преобразователей формы информации (ПФИ) от постановки задачи конкретной разработки устройства до его микроэлектронной реализации.

Одним из возможных эффективных направлений решения указанных выше проблем является применение математического аппарата искусственных нейронных сетей (ИНС). Его использование позволяет в результате обучения ней/ росети получить математическое описание структуры преобразователя, отличающегося высокой однородностью составляющих его нейроэлементов и технологической простотой реализации сети на ПЛИС, в том числе путем ее представления на языках описания аппаратуры. Повышению эффективности систем обработки информации на основе ИНС посвящены работы [13 - 18] таких известных ученых, как Галушкин А.И., Головко В.А., Круглов В.В., Ясниц-кий J1.H., Мак-Каллок У., Питтс В., Розенблатт Ф., Уидроу Б., Хофф М., Хоп-филд Дж., Кохонен Т. и др.

Внедрение нейросетевой организации систем в основу построения преобразователей импульсно-аналоговых сигналов в код, называемых часто еще им-пульсно-цифровыми, позволяет также существенно расширить их функциональные (интеллектуальные) возможности. В первую очередь, это - обучаемость ИНС-устройства на реализацию нелинейной функции преобразования с возможностью коррекции погрешностей датчиков, подключаемых к преобразователю, а также поддержка сетью функций адаптации к входным сигналам (фильтрация помех, предсказание результата, динамическая перестройка структуры ПФИ на вид определенного воздействия и т.п.).

Необходимость формализации процедуры (проведения, осуществления) синтеза такого рода ПФИ также обусловлена тем, что традиционные приемы разработки преобразователей ориентированы, в основном, на реализацию простых операций. Их усложнение приводит к длительному, трудоемкому поиску наиболее целесообразного метода преобразования формы представления информации, а затем его воплощения в схему устройства на основе типовых узлов и элементов вычислительной техники (ВТ). Поэтому использование только такого подхода к их разработке является одним из сдерживающих факторов в развитии интеллектуальных ПФИ.

В связи с этим является актуальным решение такой важной научно-прикладной задачи, как эффективное использование нейросетевого функционально-логического базиса операций для описания структур импульсно-цифровых преобразователей и создание на его основе процедур их синтеза с целью упорядочивания процесса проектирования этих устройств, особенно с расширением их операционных возможностей.

Цель н задачи исследования.

Цель работы: разработка моделей и процедур синтеза структур преобразователей частотно-временных параметров сигналов в цифровой код на основе применения нейросетевых технологий, обеспечивающих сокращение сроков проектирования создаваемых, устройств с расширенными функциональными возможностями при заданной точности преобразования.

Для ее достижения необходимо решить следующие основные задачи.

1. Определение основных методологических подходов и положений по применению ИНС-технологий для проектирования преобразователей импульс-но-аналоговой формы представления информации.

2. Разработка методов описания процессов преобразования частоты и временного интервала в код с использованием операций математического нейрона, построение моделей нейроподобных структур преобразователей.

3. Разработка процедур (порядка и содержания этапов) синтеза нейросетевых структур преобразователей импульсно-аналоговых сигналов в код с настраиваемыми в ходе обучения сети синаптическими связями между ее нейроэлементами.

4. Исследование технических характеристик импульсно-цифровых линейных и функциональных преобразователей с нейросетевой организацией путем анализа и моделирования их основных нейроэлементов, реализация структур преобразователей на ПЛИС с учетом способов их исходного описания.

Методы исследования. Для решения поставленных задач использовались математический аппарат теории искусственных нейронных сетей, теория аппроксимации и приближения функций, основы теории вероятностей, элементы теории графов и синтеза цифровых автоматов, методы моделирования.

Научная новизна работы состоит:

1) в разработке методологических подходов и положений по применению нейросетевых технологий для проектирования устройств преобразования частотно-временных параметров сигналов в цифровой код;

2) в разработке методов описания процессов преобразования и моделей структур преобразователей с фиксированными связями между нейроэлементами;

3) в создании моделей и процедур синтеза структур нейропреобразовате-лей с настраиваемыми синаптическими связями, базирующихся на получении в результате обучения аппроксимирующей сети конфигурации схемы устройства с минимально возможными аппаратными затратами с обеспечением заданной точности преобразования;

4) в разработке методики структурного синтеза нейропреобразователя как цифрового автомата от этапа постановки задачи до его микроэлектронной реализации на ПЛИС.

Достоверность полученных результатов подтверждается математическими обоснованиями, корректным использованием математического аппарата искусственных нейронных сетей и теории аппроксимации, сопоставлением альтернативных подходов, а также результатами моделирования.

Практическая значимость. Практическая значимость работы состоит в том, что предложенные модели и процедуры синтеза нейросетевых структур устройств преобразования формы информации являются основой создания на базе программируемых БИС линейных и функциональных преобразователей импульсно-аналоговых сигналов с расширенными функциональными возможностями и улучшенными технико-экономическими характеристиками. Предложенная с использованием нейросетевых технологий инженерная методика синтеза этих преобразователей на ПЛИС позволяет существенно упорядочить процесс и соответственно сократить сроки их проектирования.

Апробация работы. Основные положения и результаты работы докладывались и обсуждались на:

- 11-й, 12-й, 13-й и 14-й международных конференциях "Проблемы передачи и обработки информации в сетях и системах телекоммуникаций", г. Рязань, РГРТА, 2002, 2004 и 2005 гг.;

-8-й, 9-й и 10-й всероссийской конференции "Новые информационные технологии в научных исследованиях и образовании", г. Рязань, РГРТА, 2003, 2004 и 2005 гг.;

- Всероссийских конференциях "Биотехнические, медицинские и экологические системы и комплексы", г. Рязань, РГРТА, 2002, 2004 и 2005 гг.;

- 10-й всероссийской межвузовской научно-технической конференции студентов и аспирантов, г. Москва, МИЭТ, 2003 г.;

-Всероссийской научной конференции молодых ученых и аспирантов "Информационные технологии, системный анализ и управление", Таганрог, ТРТУ, 2003 г.;

- 10-й международной научно-технической конференции студентов и аспирантов "Радиоэлектроника, электротехника и энергетика", г. Москва, МЭИ, 2004 г.;

- 9-й всероссийской научно-технической конференции, г. Н. Новгород, Межрегиональное Верхне-Волжское отделение Академии технологических наук Российской Федерации (МВВО АТН РФ), 2004 г.;

- научно-технической конференции РГРТА. г. Рязань, РГРТА, 2004 г.

Реализация результатов работы. На основе предложенных в работе порядка и содержания этапов синтеза нейросетевых структур преобразователей частотно-временных параметров сигналов разработана и внедрена на ФГУП "Государственный рязанский приборный завод" для САПР ПЛИС представленная на языке описания аппаратуры, а также, в виде списка соединений, библиотека этих устройств как основа сокращения сроков на разработку систем, содержащих в своем составе преобразователи формы информации. Результаты диссертационных исследований также используются в учебном процессе Рязанского государственного радиотехнического университета на кафедре "Биомедицинской и полупроводниковой электроники" по специальности 190500 «Биотехнические и медицинские аппараты и системы» в дисциплине «Преобразователи информации».

Публикации. Самостоятельно и в соавторстве по теме диссертации опубликовано 30 работ, в том числе 1 патент, 2 свидетельства Рособразования РФ об официальной регистрации программ для ЭВМ, 10 статей (из них 2 в центральной печати), тезисы к 16 докладам на международных и российских научных конференциях и 1 методические указания к лабораторным работам.

Структура работы. Диссертация состоит из введения, четырех глав, заключения, списка литературы из 110 наименований, и приложения. Диссертация содержит 147 страниц основного текста и 31 страницу рисунков и таблиц (71 рисунок и 14 таблиц).

Заключение диссертация на тему "Синтез структур преобразователей частотно-временных параметров сигналов в код на основе нейросетевых технологий"

ВЫВОДЫ

1.Ha основе проведенного анализа получены аналитические зависимости для определения дисперсии выходных частотных сигналов нейронов преобразователей "частота - код", осуществляющих операции алгебраического суммирования кодо-управляемых частот.

2. Получена передаточная функция выходной частоты нейрона-формирователя кодо-управляемой частоты в зависимости от управляющего кода на основе аналитического метода и путем моделирования, позволяющая определять характеристики изменения выходного сигнала для различных входных воздействий.

3. Найдены аналитические выражения для оценки времени преобразования синтезированных ИНС-устройств в зависимости от заданной точности формирования результата и формы представления входных сигналов.

4. Построены имитационные модели нейронов-сумматоров кодо-управляемых частот, в том числе для случая их каскадного включения, позволяющие находить статические и динамические характеристики выходных сигналов таких нейронов для произвольного числа частотных входов и количества каскадов.

174

ЗАКЛЮЧЕНИЕ

Важным направлением кардинального повышения точности и надежности измерения частотно-временных параметров сигналов является развитие функциональных возможностей преобразователей, осуществляющих аналого-цифровое преобразование и первичную математическую переработку этих (им-пульсно-аналоговых) сигналов.

В диссертации исследован и разработан целый комплекс научно обоснованных методов, моделей и процедур синтеза структур преобразователей частотно-временных параметров сигналов в цифровой код на основе применения нейросетевых технологий, обеспечивающих сокращение сроков проектирования создаваемых устройств с расширенными функциональными возможностями при заданной точности преобразования. Исследование проведено с учетом использования математического аппарата искусственных нейронных сетей и теории аппроксимации, сопоставления альтернативных подходов, а также моделирования.

Полученные в работе основные результаты, исходя из поставленной цели и определенных для ее достижения задач, можно сформулировать следующим образом.

1. Разработаны основные методологические подходы и положения по применению нейросетевых технологий при проектировании устройств преобразования частотно-временных параметров сигналов в цифровой код, определяющие организацию и упорядочивающие синтез новых вариантов их структур. К ним относятся:

- представление преобразователя частотно-временных параметров сигналов в код в виде аппроксиматора с использованием нейроопераций для описания его моделируемой зависимости;

- первоочередность выбора архитектуры исходной аппроксимирующей нейросети для ее успешного обучения постановленной задаче преобразования;

- инвариантность нейросетевого описанию процесса преобразования к виду входного сигнала и типу элементной базы преобразователя.

2. Разработаны методы описания процессов преобразования и моделей структур преобразователей с фиксированными связями между нейроэлементами, отличающихся высокой степенью однородности и простотой их реализации.

Эти методы базируются на интерпретации преобразователя формы представления информации х^>у* в виде аппроксиматора с использованием ней-роопераций для описания его моделируемой зависимости .у*^) на основе методов классической теории аппроксимации, например, в виде кусочно-ступенчатой функции. На их основе построены нейроподобные структуры преобразователей с фиксированными связями между их нейроэлементами, ориентированные, в основном, на реализацию задач линейного преобразования. В основу построения этих структур положены операции математического нейрона.

3. Созданы модели и процедуры синтеза структур нейропреобразователей с настраиваемыми синаптическими связями, базирующиеся на получении в результате обучения аппроксимирующей сети конфигурации схемы устройства с минимально возможными аппаратными затратами на его последующую реализацию с обеспечением заданной точности.

Представление процесса аналого-цифрового преобразования х^>у* в виде, описывающем функционирование ИНС - аппроксиматора, определяет основное содержание процедур синтеза структур преобразователей с использованием нейросетевых технологий. Его суть заключается в проведении обучения выбранной аппроксимирующей нейросети с целью получения конфигурации схемы устройства на решение поставленной задачи преобразования при выполнении ограничений на допустимую (заданную) погрешность S и заданное время Т преобразования: дпр < 8„Рлои и Гпр < Т , с обеспечением минимизации показателя затрат (min <— С) на его последующую реализацию.

4. Разработаны методы последовательного вычисления активационных функций и соответственно поддерживающие их способы каскадного включения и многотактной работы однонейронных сетей для построения моделей структур преобразователей с существенным (почти на порядок) сокращением аппаратных затрат на их реализацию.

Наряду с этим обоснована целесообразность использования нейронов с функциями активации с переменным значением порога. В результате синтеза сформированы многотактные однонейронные структуры преобразователей циклического принципа действия за счет организации последовательной во времени (потактной) работы сети в виде одного слоя или каскада.

5. Определены особенности организации и синтеза структур ИНС-преобразователей на основе рекуррентных и гибридных сетей как одного из направлений снижения затрат на реализацию заданной операции преобразования и расширения их функциональных возможностей.

Общность свойств формирования результата измерения (преобразования) во времени и функционирования рекуррентных сетей обосновывает целесообразность эффективности применения этих сетей для построения ПФИ с частотной и время-импульсной формой представления преобразуемого сигнала.

С точки зрения аппаратных затрат для ПФИ с позиционным способом кодирования результата найдены в зависимости от погрешности преобразования функции выигрыша применения рекуррентных сетей в сравнении с классическими персептронными. Показано, что общее количество нейронов для 10-ти и 16-ти разрядных преобразователей уменьшается в них соответственно в 20 и 500 раз.

Наряду с этим рассмотрена возможность построения ПФИ на основе радиально-базисных сетей, достоинством которых является отсутствие скрытых слоев, что упрощает процедуру их обучения. Предложено использование функции активации нейронов с вычислением под экспонентой разности первой степени, показана эффективность ее применения.

6. Разработана методика структурного синтеза нейропреобразователя как цифрового автомата от этапа постановки задачи до его микроэлектронной реализации, позволяющая существенно упорядочить процесс проектирования устройств с расширенными функциональными возможностями и, в частности для задачи нелинейного преобразования, в 3+4 раза сократить сроки на разработку его структуры.

В результате проведения Л-го этапа как последовательности действий 1.1— 1.3 (см. рис. 1.5) производится (при заданной точности получения результата преобразования) синтез нейросетевой структуры преобразователя с представлением входных и выходных переменных в виде физических величин.

На 2-м этапе формируется матричное представление входов-выходов нейронов структуры, в котором аналоговые величины описываются в виде соответствующих им логических сигналов, отражающих двоичную логику формирования преобразуемых частотных и время-импульсных сигналов.

На 3-м этапе формируются графы описания нейронов преобразователя как структурного автомата. На 4-м этапе на их основе составляется описание нейронов ПФИ, а также преобразователя в целом на языке описания аппаратуры, например, VHDL, либо в виде логической схемы.

7. Проведен анализ и моделирование основных нейроэлементов и учтены их свойства при проектировании ИНС-преобразователей.

Найдены зависимости для определения дисперсии выходного сигнала нейрона-сумматора двух частот, нейрона-сумматора двух кодо-управляемых частот, а также выходного сигнала каскадного включения нейронов с синаптиче-скими связями на основе формирования кодо-управляемых частот.

Получена передаточная функция нейронов-умножителей частоты на цифровой код как одного из вариантов реализации динамически перестраиваемой синаптической связи. Произведена оценка времени преобразования для различных видов структур нейропреобразователей.

В результате моделирования получена зависимость дисперсии а2 выходной частоты fz для 3-х входового нейрона-сумматора кодо-управляемых частот. Анализ значений а2 показывает, что при синтезе таких нейронов для сокращения времени преобразования следует уменьшать интервал неравномерности выходной частоты fz, в том числе за счет уменьшения разрядности кодов, задающих значения синаптических связей ИНС-преобразователя.

На основе проведенного диссертационного исследования решена важная научно-прикладная задача создания моделей и процедур синтеза преобразователей частотно-временных параметров сигналов в цифровой код на основе применения нейросетевых технологий, обеспечивающих сокращение сроков проектирования создаваемых устройств с расширенными функциональными возможностями при заданной, точности преобразования. Ее решение является определенным вкладом в развитие новых методов описания процессов преобразования, а также в развитие методов синтеза и анализа преобразователей информации как важных устройств вычислительной техники и систем управления.

Практическая значимость работы состоит в том, что предложенные модели и процедуры синтеза нейросетевых структур устройств преобразования формы информации являются основой создания на базе программируемых БИС линейных и функциональных преобразователей импульсно-аналоговых сигналов с улучшенными технико-экономическими характеристиками и расширенными функциональными возможностями. Предложенная с использованием нейросетевых технологий инженерная методика синтеза этих преобразователей на ПЛИС позволяет существенно упорядочить процесс и сократить сроки их проектирования.

Дальнейшее расширение функциональных возможностей и улучшение технико-экономических параметров проектируемых ИНС-преобразователей может достигаться за счет применения других видов сетей (Хопфилда, гетерогенных и др.), а также комплексирования различных видов сетей и применения аппарата нечеткой логики как эффективного приема улучшения динамических характеристик преобразования. Решение этих вопросов может стать предметом последующих исследований.

Результаты, полученные в диссертации, внедрены в разработки ФГУП "Государственный рязанский приборный завод" и в учебный процесс Рязанского государственного радиотехнического университета (акты прилагаются).

Библиография Челебаев, Сергей Валерьевич, диссертация по теме Элементы и устройства вычислительной техники и систем управления

1. Смолов В.Б. Функциональные преобразователи информации. JL: Энерго-издат. Ленингр. отделение, 1981. - 248 с.

2. Микроэлектронные цифро-аналоговые и аналого-цифровые преобразователи информации. Под ред. В.Б. Смолова. Л.: Энергия, 1976. - 336 с.

3. Смолов В.Б., Чернявский Е.А. Гибридные вычислительные устройства с дискретно-управляемыми параметрами. Л.: Машиностроение (Ленингр. отд-ние), 1977 г. - 296 с.

4. Смолов В.Б., Угрюмов Е.П., Артамонов А.Б. и др. Время-импульсные вычислительные устройства. Под ред. В.Б. Смолова, Е.П. Угрюмова. М.: Радио и связь, 1983. - 288 с.

5. А. с. № 353343 СССР. Время-импульсный функциональный преобразователь / Паламарюк Г.О., Кистрин А.В., Локтюхин В.Н., Никифоров М.Б. // Открытия. Изобретения. 1972, №19.

6. А. с. № 754407 СССР. МКИ G 06 F 5/04. Функциональный преобразователь "код-частота" / Смолов В.Б., Балашов Е.П., Локтюхин В.Н., Паламарюк Г.О. // Открытия. Изобретения. 1980, № 29.

7. ДанчеевВ.П. Цифрочастотные вычислительные устройства. — М.: Энергия, 1976.- 176 с.

8. Ю.Грушвицкий Р.И., Мурсаев А.Х., Смолов В.Б. Аналого-цифровые периферийные устройства. Л.: Энергоатомиздат. Ленингр. отд-ние, 1989. - 160 с.

9. Смолов В.Б., Фомичев B.C. Аналого-цифровые и цифро-аналоговые нелинейные вычислительные устройства. Л.: Энергия, 1974. - 264 с.

10. Карпов Р.Г. Техника частотно-импульсного моделирования. М.: Машиностроение, 1969. - 247 с.

11. Ясницкий Л.Н. Введение в искусственный интеллект. Учеб. пособие для студ. высш. учеб. заведений. М.: Издательский центр "Академия", 2005. — 176 с.

12. Головко В.А. Нейронные сети: обучение, организация и применение. Кн. 4: Учеб. пособие для вузов. Общая ред. А.И. Галушкина. М.: ИПРЖР, 2001. -256 с.

13. Галушкин А.И. Проблемы искусственного интеллекта и нейрокомпьютеры. // Нейрокомпьютеры: разработка, применение. № 6, 2005. М.: Радиотехника, 2005.-С. 3-8.

14. Розенблатт Ф. Принципы нейродинамики. М.: Мир, 1966.

15. Нейрокомпьютеры в системах обработки изображений. Коллективная монография / Общ. ред. А.И. Галушкина. М.: ИПРЖР, 2004.

16. Нейрокомпьютеры в авиации. Монография / Под ред. В.И. Васильева, А.И. Галушкина, Б.Г. Ильясова. -М.: ИПРЖР, 2004.

17. Иванов А.И. Нейросетевые алгоритмы биометрической идентификации личности. Монография / Под ред. А.И. Галушкина. М.: ИПРЖР, 2005.

18. Ефимов В.В., Козырев Г.И., Лоскутов А.И. и др. Нейрокомпьютеры в космической технике. Монография / Под ред. А.И. Галушкина. М.: ИПРЖР, 2005.

19. Нейроматематика. Кн.6: Учеб. пособие для вузов / Агеев А.Д., Балухто А.Н., Бычков А.В. и др.; Общая ред. А.И. Галушкина. М.: ИПРЖР, 2002. - 448 с.

20. Бэстенс Д.-Э., Ван ден Берг В.-М., Вуд Д. Нейронные сети и финансовые рынки: принятие решений в торговых операциях. — М.: ТВП, 1997. 236 с.

21. Уоссермен. Нейрокомпыотерная техника. М.: Мир, 1992.

22. Локтюхин В.Н. Микропроцессоры и ЭВМ (в 4-х кн.). Кн. 4. Микропроцессорные системы сбора и первичной обработки импульсно-аналоговой информации: Учеб. пособие для вузов. М.: Энергоатомиздат, 2000. - 156 с.

23. Гитис Э.И. Преобразователи информации для электронных цифровых вычислительных устройств. Изд. 3-е, перераб. М.: Энергия, 1975. - 418 с.

24. Гельман М.М. Системные аналого-цифровые преобразователи и процессоры сигналов. М.: Мир, 1999. - 559 с.

25. Муттер В.М. Аналого-цифровые следящие системы. Л.: Энергия, 1974. -184 с.

26. Мельников А.А, Рыжевский А.Г., Трифонов Е.Ф. Обработка частотных и временных импульсных сигналов. М.: Энергия, 1976. - 136 с.

27. Евдокимов Ю.К., Никифоров И.К. Нейросетевой импедансный метод определения параметров жидких нефтепродуктов. // Приборы и системы. Управление. Контроль. Диагностика. 2004. №12. С. 37-43.

28. Корячко В.П., Курейчик В.М., Норенков И.П. Теоретические основы САПР: Учебник для вузов. М.: Энергоатомиздат, 1987. -400 с.

29. Вашкевич Н.П. О построении структуры цифрового блока АЦП "напряжение код" поразрядного уравновешивания // Вычислительная техника. Сборник статей. Выпуск 1.-Рязань, 1974. С. 142 145.

30. Стахов А.П. Применение кодов Фибоначчи в технике АЦП и ЦВМ // Проблемы создания преобразователей формы информации. Часть 1. Материалы III Всесоюзного симпозиума. Киев, 16-18 ноября 1976 г. Киев: Наукова Думка, 1976. С. 10-13.

31. А. с. №488341 СССР МКИ Н 032 13/02 Функциональный преобразователь частоты в код / Локтюхин В.Н. // Открытия. Изобретения. 1976, №38.

32. А. с. № 529558 СССР. МКИ Н 03 К 13/20. Функциональный преобразователь частоты в код / Иопа Н.И., Локтюхин В.Н. // Открытия. Изобретения. 1976, №35.

33. А. с. № 547772 СССР. МКИ G 06 F 15/34. Функциональный преобразователь кода в частоту / Локтюхин В.Н., Паламарюк Г.О., Соломаха В.Н. // Открытия. Изобретения., 1977, №7.

34. А. с. № 632081 СССР. МКИ Н 03 К 13/20. Время-импульсный функциональный преобразователь / Локтюхин В.Н., Быценко С.Г. // Открытия. Изобретения. 1978, №41.

35. А. с. № 840898 СССР. МКИ G 06 F 7/60. Время-импульсный функциональный преобразователь / Астафьев А.А., Быценко С.Г., Иопа Н.И., Локтюхин В.Н., Шаров В.А. // Открытия. Изобретения. 1981, №23.

36. А. с. № 248349 СССР. Аналого-цифровой функциональный преобразователь. / Тарасенков А.Н., Федак B.C. // Открытия. Изобретения. 1969, №23.

37. А. с. № 263298 СССР. Импульсный функциональный преобразователь / Тимошин Ю.В., Гельман Н.М., Кравчук А.А., Спектор М.А. // Открытия. Изобретения. 1970, №7.

38. А. с. № 612261 СССР. Аналого-цифровой логарифмический преобразователь / Артамонов А.Б., Жариков А.Н., Смирнов A.M. // Открытия. Изобретения. 1978, №23.

39. Никитенко С.Г., Смолов В.Б., Угрюмов Е.П. Время-импульсные функциональные преобразователи с аппроксимацией воспроизводимой зависимости рациональными дробями // Автоматика и телемеханика. №2, 1973.

40. А. с. № 286358 СССР. Время-импульсный квадратичный преобразователь / Смолов В. Б., Угрюмов Е.П. // Открытия. Изобретения. 1970, № 34.

41. Челебаев С.В., Локтюхин В.Н. Персептронные модели аналого-цифровых преобразователей // Новые информационные технологии в научных исследованиях и образовании: Тезисы докладов 10-й всероссийской науч.-техн. конф. Рязань: РГРТА, 2005. С. 99-101.

42. Локтюхин В.Н., Челебаев С.В. Нейросетевые модели преобразователей частоты в унитарный код // Электроника: Межвузовский сборник научных трудов.-Рязань, 2005. С. 110-118.

43. Никитин А.Н. Анализ и синтез АЦП высокой производительности // Проблемы создания преобразователей формы информации. Часть 1. Материалы III Всесоюзного симпозиума. Киев, 16-18 ноября 1976 г. Киев: Наукова Думка, 1976. С. 68-72.

44. Локтюхин В.Н., Челебаев С.В. Об одном варианте построения трехслойной персептронной структуры линейного АЦП // Информатика и прикладная математика: Межвузовский сборник научных трудов. Рязань: РГПУ, 2005. С. 146-150.

45. Jordan М. Attractor dynamics and parallelism in a connectionist sequential machine // Proceedings of the Eighth Annual Conference of the Cognitive Science Society. Hillsdale: Erlbaum, 1986, pp. 531-546.

46. Bahnasawi A.A., Abdel Wahab A.H., Shaheen S.I. Logical radial basis function networks a hybrid intelligent model for function approximation // Adv. Eng. Softw. (UK), vol. 30, no. 6, June 1999, (p. 407-417).

47. Andras P. Orthogonal RBF neural network approximation // Neural Process. Lett. (Netherlands), vol. 9, no. 2, April 1999. (p. 141-151).

48. Li Xin. On simultaneous approximations by radial basis function neural networks //Appl. Math. Comput. (USA), vol. 95, no 1, 1 Sept. 1998. (p. 75-89).

49. Баранов С.И., Скляров В.А. Цифровые устройства на программируемых БИС с матричной структурой. М.: Радио и связь, 1986. - 272 с.

50. Баранов С.И. Синтез микропрограммных автоматов (граф-схемы и автоматы). 2-е изд., перераб. и доп. - JL: Энергия. Ленингр. отделение, 1979. -232 с.

51. Ланцов В.Н. Проектирование ПЛИС на VHDL: Учеб. пособие / Владим. гос. ун-т. Владимир, 2000. 120 с.

52. Угрюмов Е.П. Цифровая схемотехника. СПб.: БХВ - Санкт-Петербург, 2000. - 528 с.

53. Разработка и исследование вычислительного преобразователя для блока системы контроля топлива. Отчет о НИР 20-79, РРТИ. Науч. рук. Иопа Н.И., Г. Р. №79021742. -Рязань, 1981,- 195 с.

54. Ян Си-зен. Определение максимальной погрешности двоичного умножителя // Автоматика и телемеханика. №7, 1960.

55. Пат. 2248037. Российская федерация, МПК G 06 F 15/00. Псевдоассоциативный процессор Текст. / Волковыский В.Л., Челебаев С.В.; Заявитель и патентообладатель РГРТА. № 2003100459/09. Бюл. № 7 от 10.03.2005. 2 е.: ил.

56. Челебаев С.В., Волковыский В.Л. Оптимизация выполнения поисковых операций в машинах баз данных // Вестник РГРТА. Выпуск 11. Рязань, 2003. -С. 50-53.

57. Лившиц Н.А., Пугачев В.Н. Вероятностный анализ систем автоматического управления. М.: Советское радио, 1963. - 896 с.

58. Вентцель Е.С. Теория вероятностей: Учеб. для вузов. 8-е изд., стер. - М.: Высш. шк., 2002. - 575 с.

59. Локтюхин В.Н. Анализ характеристик частотно-импульсного вычитающего устройства параллельного действия в статическом режиме // Вычислительная техника: Труды РРТИ, вып. 59. Рязань. 1974. С. 23-29.

60. Челебаев С.В., Локтюхин В.Н. Анализ погрешности при суммировании двух частотных сигналов // Физика полупроводников. Микроэлектроника. Радиоэлектронные устройства: Межвузовский сборник научных трудов. — Рязань, 2003. С. 31-37.

61. Карташев В.Г. Основы теории дискретных сигналов и цифровых фильтров: Учеб. пособие для вузов. -М.: Высш. школа, 1982. 109 с.

62. Никифоров М.Б. О вероятностной оценке точностных характеристик цифрового интегратора частотно-импульсных сигналов // Вычислительная техника. Сборник статей. Выпуск 1. Рязань, 1974.

63. Челебаев С.В. Анализ статистических характеристик суммирования кодо-управляемых частотных сигналов // Физика полупроводников. Микроэлектроника. Радиоэлектронные устройства: Межвузовский сборник научных трудов. Рязань, 2003. С. 40-46.

64. Краснов М.Л., Киселев А.И., Макаренко Г.И. и др. Вся высшая математика: Учебник. Т. 2. М.: Эдиториал УРСС, 2000. - 184 с.

65. Корн Г., Корн Т. Справочник по математике (для научных работников и инженеров). Под общ. ред. И.Г. Арамановича. М.: Наука, 1973. - 832 с.

66. Челебаев С.В. Нахождение передаточной функции импульсно-цифровых преобразователей типа "код-частота" // Информационные технологии моделирования и управления: Междунар. сб. науч. трудов. Выпуск 18. Воронеж: Научная книга, 2004. - С. 47-51.

67. Челебаев С.В. Анализ погрешности цифроуправляемого генератора частоты. // Проблемы передачи и обработки информации в сетях и системах телекоммуникаций: Материалы 13-й Международной науч.-техн. конф. Рязань: РГРТА, 2004.-С. 88-90.

68. Бесекерский В.А., Попов Е.П. Теория систем автоматического регулирования. Издание третье, испр. М.: Наука, 1975. — 768 с.

69. Краснов M.JL, Киселев А.И., Макаренко Г.И. и др. Вся высшая математика: Учебник. Т.4. -М.: Эдиториал УРСС, 2001.-352 с.

70. Свидетельство об отраслевой регистрации разработки № 5038. Программа для нахождения передаточной функции преобразователя кода в частоту / Челебаев С.В.; Правообладатель РГРТА. дата регистрации 14.07.05; дата выдачи 27.07.05.

71. Гольденберг JI.M., Матюшкин Б.Д., Поляк М.Н. Цифровая обработка сигналов: Справочник. — М.: Радио и связь, 1985. 312 с.

72. Дьяконов В.П. MATLAB 6/6.1/6/5 + Simulink 4/5 в математике и моделировании. Полное руководство пользователя. М.: COJIOH-Пресс. - 2003. -576 с.

73. Паламарюк Г.О., ИопаН.И., Локтюхин В.Н., СоломахаВ.Н. Анализ частотно-импульсных вычитающих устройств // Вычислительная техника: Труды РРТИ, вып. 30. Рязань, 1972. С. 90-97.

74. Советов Б. Я., Яковлев С. А. Моделирование систем: Лабораторный практикум: Учеб. пос. для вузов. М.: Высш. шк., 1989. - 80 с.

75. Киндлер Е. Языки моделирования: Пер. с чеш. М.: Энергоатомиздат, 1985.-288 с.

76. Шрайбер Т.Дж. Моделирование на GPSS: Пер. с англ. / Пер. В.И. Гаргера, И.Л. Шмуйловича; Ред. М.А. Файнберг. М.: Машиностроение, 1980. -592 с.

77. Челебаев С.В. Функциональное моделирование цифро-частотных сглажи-вающе-преобразующих устройств. // Информатика и прикладная математика: Межвуз. сб. науч. тр. Ряз. гос. пед. ун-т им. С.А. Есенина. Рязань, 2003. С.61-64.

78. Челебаев С.В., Локтюхин В.Н. Функционально-логическая модель цифро-частотных суммирующе-преобразующих устройств // Информационные технологии в проектировании: Межвуз. сб. науч. тр. РГРТА. Рязань, 2004. С. 73-79.