автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.01, диссертация на тему:Разработка отказоустойчивого мультинейропроцессора цифровой обработки сигналов

кандидата технических наук
Лавриненко, Сергей Викторович
город
Ставрополь
год
2008
специальность ВАК РФ
05.13.01
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Разработка отказоустойчивого мультинейропроцессора цифровой обработки сигналов»

Автореферат диссертации по теме "Разработка отказоустойчивого мультинейропроцессора цифровой обработки сигналов"

ГОУ ВПО «Северо-Кавказскии государственный технический университет»

РАЗРАБОТКА ОТКАЗОУСТОЙЧИВОГО МУЛЬТИНЕЙРОПРОЦЕССОРА ЦИФРОВОЙ ОБРАБОТКИ СИГНАЛОВ

Специальность 05 13 01

«Системный анализ, управление и обработка информации (в технике и технологиях)»

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

На правах рукописи

Лаврименко Сергей Викторович

□□3448363

О 2 о КТ 200В

Ставрополь-2008

003448363

Работа выполнена в Северо-Кавказском государственном техническом университете на кафедре «Автоматизированные системы обработки информации и управления»

Научный руководитель.

доктор технических наук, профессор Мочалов Валерий Петрович

Официальные оппоненты: доктор технических наук, доцент

Калмыков Игорь Анатольевич

доктор физ -мат наук, доцент Наац Виктория Игоревна

Ведущая организация:

Поволжский государственный университет телекоммуникации и информатики, г Самара

Защита состоится 17 октября 2008 I в 14 00 часов на заседании совета по защитам докторских и кандидатских диссертаций Д 212 245 09 при Северо-Кавказском государственном техническом университете по адресу 355028, г Ставрополь, пр-т Кулакова 2, ауд Г305

С диссертацией можно ознакомиться в библиотеке Северо-Кавказского государственного технического университета по адресу г Ставрополь, пр-т Кулакова 2

Автореферат разослан «/с » 09 2008 г

Ученый секретарь

диссертационного совета

кандидат физико-математических наук,

доцент

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность работы. Современное состояние ратшия цифровой обработки сигналов (ЦОС) характертуется шпенсивпым внедрением новых принципов и подходов к организации вычислений Результат),i теоретических и практических разработок отечественных и зарубежных специалистов со всей определенностью указывают на то, что одним из перспективных, многообещающих путей решения задач сокращения времени обработки информации и повышения и аде лак или вычислительных среден) являстея применение различных форм паратлельной обрабагки данных в том числе и на основе числовых систем с паралчелыюи структурой Одним из магистральных направлений, среди современных подходов к созданию отказоустойчивых высоконарадлельных универсальных и спецгсшиировйнных средств обработки данных, является использование иейросе-тевого логического базиса

Для представления и обработки данных в нейросет свых системах мгаут бьп ь использованы позиционные и непозиционные системы счисления

При выполнении арифметических операций над числами, присущая позиционным системам счисления зависимость между разрядами числа, влечет за собой необходимость учета переносов из мчадших разрядов в старшие Эта зависимость разрядов отягощает в значительной стенени аппаратное выполнение операции и ограничивает возможности в достижении высокого быстродействия и простоты реализации

Обойти скоростное ограничение можно двумя нушми использованием методов для уменьшения времени распространения переноса iiyic-м добавления специализиро-ватшых схем организации переноса предварительным переносом или использованием систем счисления с отсутствием поразрядных связей, например, системы остаючпых классов (СОК)

Преимуществами систем!.! остаючпых классов по отношению к позиционным системам счисления являются

— во-первых, арифметические операции счожения, вычитания и умножения могу i вы1 юл пяться I шраллелъно i мд цифрами каждот о разряда в о i дел ы юса и,

- во-вторых, сложность логики обработки каждой цифры уменьшается 1 аким образом, поразрядное выполнение модульных арифметических операций позволяет обеспечить высокое быстродействие нейрокомпьютера, а также дает возможность сохранять работоспособность и корректировать ошибки в динамике вычисчит елыгсн о процесса за счет применения раздельного резервирования вычислительных трактов малого объема

Однако система остаточных классов обладает радом недостатков, которые ограничивают область ее эффективною применения Не являясь позиционной системой счисления, система остаточных классов не имеет бчагогтрияшых характеристик, присущих позиционным системам счисления

Кроме модульных арифметических операций в модулярном компьютере часто возникает необходимость выполнения немодульных операции, те операций, которые требуют знания величины всего числа в целом Так, при определении знака числа, арифметическом сравнении чисел, масштабировании, окружении, а также при определении переполнения и выпотнепии некоторых другах операции, необходимо знагь расположение числа в числовом диапазоне, те их позиционные характеристики При выполнении такого рода операций в сочетании с операциями сложения, вычитания и умножения применение модулярной арифметики оправдано лишь в том случае, если имеются средства быстрого перехода из позиционной системы к модулярному представлению и обратно

11ризпавая важность исследований в рассматриваемой области, отмстим, чю научных рлбш, посвященных сложным и многообразным проблемам теории и практики модулярной арифметики, реализуемой в нейросетевоч логическом базисе явно недостаточно Кроме юго, недостаточно рассмотрены вопросы обеспечения отказоустойчивости нейросетевыч вычислительные средств

Позванные вопросы являются актуальными и еще не получили достаточно полного отражения в научной литературе, что обусловило проявление тенденции возрастания спроса на их решение

Теоретические основы отображения арифметики системы остаточных классов на нейросетевые структуры заложены в работах D Zhang, G Jullien, W Miller

Таким образом, как с теоретической, так и с практической точки зрения счедуег признать необходимость в исследованиях вышеназванных проблем, носящих актуатьный характер

Целью работы являстся повышение отказоустойчивости и обеспечение высокой живучести нейрокомпьютера с сохранением его производительности на основе применения модулярных пейросетевых средств

Объектом исследования является модулярный нейрокомпьютер neiрадициопиои архитектуры с распределением ресурсов, предназначенный для обработки данных большой размерности в режиме реального времени

Предметом исследования являются методы и алгоритмы обеспечения отказоустойчивого функционирования вычислительных средств и достоверности обрабатываемой информации

Научная задача работы заключается в разработке структуры высокопроизводительного модулярного нейрокомпьютера цифровой обработки сигналов повышенной отказоустойчивости на основе применения позиционно-остаточной арифметики и нейронных сетей

I [оставленную научную задачу декомпозируем на ряд частных задач исследования

1 Аначиз ический обзор современных вычислительных средств цифровой обработки сш палов,

2 Разработка методов и алгоритмов структурно-параметрического синтеза функциональных устройств прямого и обратного преобразования кодов системы остаточных классов с использованием искусственных нейронных сетей,

3 Разработка теоретических основ анализа и синтеза многофункциональных модулярных устройств с использованием нейронных сетей конечного кольца,

4 Синтез векторной архитектуры отказоустойчивого модулярного нейрокомпьютера с перестраиваемой структурой в пределах заданных временных показателей и функциональной мощности

Методы исследования базируются на использовании математического аппарата теории чисел, теории вероятностей, теории надежности технических систем, теории искусственных нейронных сетей неироматематки и математическом моделировании

Достоверность и обоснованность полученных в диссертационной работе теоретических результатов и формируемых на их основе выводов обеспечивается строгостью производимых магемашческих выкладок, базирующихся па аппарате теории чисел и численных методах Справедливость выводов относительно эффективности предложенных моделей, методов и алгоритмов подтверждена математическим компьютерным модулированием

На защиту выносятся следующие основные положения

1 Системный анализ организации функционирования модулярного нейрокомпьютера с множественным позиционио-остаточным кодированием данных и разработанные ускоренные методы, алгоритмы и нейронные сети прямого и обратного преобразования остаточных кодов, обеспечивающих сопряжение входных и выходных блоков нейрокомпьютера с мучьгинейропроцессором

2 Предложештые методы и алгоришы синтеза многофункциональных немодульных вычислшелытых устройств, которые совместно с модульными устройствами составляют основной неиросетевои базис модулярной арифметики, используемый при разработки заданных конфигураций огказоустойчивого модулярного нейрокомпьютера.

3 Разработанные эдагггивная параллельно-конвейерная нейронная сеть определения, локализации и коррекции ошибок и нейронная сеть для коррекции ошибок, предс1апленных отрицательными числами в минималыто-избьп очной симметричной системе остаточных классов, которые является основными соетадляю-щими комплексного подхода к повышению отказоустойчивости мультинейро-нроцессоров цифровой обработки сигналов

4 Созданная векторная архитектура с одштм потоком команд и множественным потоком данных (SIMD) имеющая сокращенный набор команд (RISK) мультп-нсйропроцессора, обеспечивающая отказоустойчивое функционирование модулярного нейрокомпьютера за счет перестраиваемой структура при различных уровнях динамической реконфшурации мультинейропроцессора, а также разработанное программное обеспечение, на основе когорото проведено компьютерное моделирование адаптивной параллельно-конвейерной нейронной сети в базисе ПЛИС и оценка эффективности разработанных вычислительных структур

Научная новины. Впервые предложена и разработана векторная архитектура модулярного нейрокомпьютера с сокращенной системой команд, обеспечивающая вт ¡сокую надежность и производительность с нетрадиционным комплексным подходом к повышению оггказоустойчттости

Научная новизна полученных в диссертации результатов

1 Проведены теоретические исследования системной связи структуры мо,тулярной арифметики с искусственными неиронными сетями для построения высокопроизводительных устройств прямого и обратного преобразования кодов СОК, обеспечивающих согласованную взаимосвязь основных функциональных блоков модулярного нейрокомпьютера при выполнении команд программы

2 Предложены методы и алгоритмы сшггеза многофункциональных немодульных вычислительных средств в нсиросетевом базисе, которые совместим с модульными вычислительными средствами составляют базовый вычислительный набор, обеспечивающий реализацию заданной конфигурации структуры отказоустойчивого неироком-пыот ера цифровой обработки сипталов

3 Исследованы и разработаны методы повышения отказоустойчивости новой архитектуры модулярного нейрокомпьютера на основе предложенного комплексного подхода, который включает в себя минимально-избыточные модулярные каналы мультинейро-ттроцессора по модулям СОК, которые реализуют корректирующие свойства остаточных кодов, нейронные сети конечного кольца и нейронные сети Хопфилда (Хаммипга), обеспечивающие коррекцию ошибок в критических ситуациях и заданные уровни дс-

градации структуры мулы микропроцессора при отказе части каналов, базирующихся па принципах обменных операций между точностью, быстродействием и надежностью 4 Разработаны методы и алгоритмы структурно-параметрическо; о анализа адаптивной параллельно-конвейерной нейронной сети для определения, локализации и исправления ошибок на базе ПЛИС Х|1тх в среде \\'еЬРаск!8Е Разработано программное обеспечение и проведено временное моделирование, результаты которою использованы для оценки эффективности решения задачи системного анализа таких сложных систем как модулярный нейропроцессОр цифровой обработки сит налов

Практическая значимость Разработанные модели, методы и алгоритмы параллельно-конвейерной модулярной обработки па основе нейросетевой вычислительной баял позволяющие строить высокопроизводительные и отказоустойчивые нейропроцессо-ры ЦОС нового класса, которые способны выполнять в реальном масштабе времени колоссальные объемы матсмаютеских расчетов над огромными массивами данных Предложенные модулярные сгрукгуры ЦОС, благодаря их естественному параллелизму, однородности, раулярпости, прочим особенностям, относятся к разряду структур, наилучшим образом согласующихся с принципами организации тсхночогий изготовления СБИС, включая наиболее перспективный и\ класс — программируемые логические итгге-фальные схемы типа ХШпх

Реализация результатов Теоретические и практические результаты диссертационной работы использованы при выполнении I ТИР «Новый класс нейронных цифровых фтшьтров с параллельной обработкой данных», номер Государственной рептстрацин № 01 01 00105057 по гранту Министерства образования РФ ТОО-З 3-292 и реализованы в Воронежском I \НИИС в ходе проведения ОКР «Бланк» при разработке блока ЦОС в рамках НИР ХД401, в НИОКР № 6677 ООО НПФ «Нейрон» (акт внедрения от 15 04 2008 г), в ООО «Моби» (ахт внедрения от 22052008г) и ЗЛО «Орбита» (акт внедрения от 09 07 2008 г ), а также в учебном процессе СевКавГТУ (акт внедрения 4 09 2008 г)

Апробация работы Основные результаты диссертации докладывались на международной конференции «50 лет модулярной арифметике» г Москва, Зеленоград, 2006 г первой и третьей международных научно-технических конференциях «Инфокоммупи-кационные технологии в науке, производстве и образовании», г Ставрополь 2004 -2008гг, IV Международной научно-практической конференции, г Новочеркасск, 2004г, 48 научно-методической конференции «Проблемы физико-математических наук» -Ставрополь, СГУ, 2003 г, 53-й научно-методической конференции, г Ставрополь, СГУ, 2008г, Третьей Всероссийской научно-практической конференции «Перспективные системы и задачи управления» г Таганрог, 2008 г

Публикации Основные результаты диссертации достаточно полно изложены в 19 научных работах, в том числе 2 патентах на изобретение Адаптивная параллельно-конвейерная нейронная сеть для коррекции ошибок, патент на изобретение № 2279131 от 07 08 2003 г, нейронная сеть конечного кольца, патеш- на изобретение № 2279132 от 07 08 2003 г

Структура и объем диссертации. Работа состоит из введения, четырех разделов, заключения, семи приложений списка сокращений и обозначений, а также списка литературы, содержащего 105 наименований Основная часть работы содержит 218 страниц машинописного текста.

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность исследований по ра (работке высокоскоростных и надежных нейропронсссоров ЦОС, сформулирована цель работы, изложены основные результаты исследовании, показана их научная новизна, практическая значимость, указаны основные положения, выносимые на защтпу

В первом разделе диссертационном работы приведен анализ задач цифровой обработки сигналов и показано, что эффективными моделями oproi опальных преобразований являются модели па основе применения теории ночей Гапуа, которые требуют колоссальных объемов математических расчетов, выполнение коюрых не возможно без использования высокопроизводительных вычислительных средств, базирующихся на широком применении тех или иных форм параллельных вычислении Рассмотрены и проанализированы основные требования по производительности и надежности, предъявляемые к вычислительным средствам, которые могут быть удовлетворены применением принципиально новых принципов для их реализациях, а именно, принципов совместного применения модулярной арифметики и нейронных сетей Выявлена возможность использования для представления СОК нейросетевого базиса на основе ПЛИС, которая позволяет реализовать высокопроизводительные процессоры ЦОС Установлено, что сочетание достоинств модулярной арифмети.си и нейронных сетей позволяет проектировать специализированные процессоры цифровой обработки сишалов принципиально нового класса, которые по сравнению с традиционными, обеспечивают более высокое быстродействие и надежность

Необходимость переработки больших массивов информации в реальном времени определяет жесткие требования к надежности, отказоустойчивости и производительности ЭВМ Существующие методы надежности, отказоустойчивости и производительности не всегда удовлетворяют возросшим требованиям к этим характеристикам ЭВМ, что в первую очередь объясняется консервативной структурой построения позиционных систем обработки информации R работе рассмотрены существующие и перспективные пути повышения производительности, отказоустойчивости и надежности ЭВМ

Машинная модулярная арифметика представляет собой математическую модель, которая включает в себя сово1супность динамического диапазона, множеств модульных и немодульпых операций, а также алгоритмы вычислений

Цетпралыюй операцией для ряда вычислительных проблем является операция вычисления вычетов по модулям, входящим в выбранную систему модулей модулярной арифметики Эта операция должна бьпь базисной в конструируемой компьютерной арифметике, которая накладывает требования на выбор и алгоритмы модульных и немодульных операций, обеспечивающих максимальную простоту операции вычисления вычетов

Противоречие между вычислительной сложностью определения позиционных характеристик и их быстродействием разрешено путем совместного применения Китайской теоремы остатков и смешанной системы счисления При атом методе определения позиционных характеристик ортогональные базисы представляются в смешанной системе счисления, что позволило модифицировать алшртпм Гарнера Этот подход позволяет вычислять коэффициенты обобщенной позиционной системы счисления за один цикл синхронизации, в то время, как метод Гарнера эту операцию выполняет итерат ивно за (п-

7

1) циклов синхронизации, где п - число оснований системы остаточных классов. Приведена задача постановки исследования.

Во втором разделе проводится системный анализ различных форм представления и обработки данных п модулярных нейрокомпьютерах и предлагается принцип множественного представления и обработки данных на основе применения позиционно-остаточной арифметики. Для сопряжения функциональных устройств модулярного нейрокомпьютера разработан метод и алгоритм определения вычета числа па основе использования множеств классов чисел по модулю и проведен синтез на его основе иерархической нейронной сети конечного кольца. Предлагаемый метод основан на вычислительной модели (1)

г,-1 а

X = а„_|2""' +я„_22"~2 + ... + Й12| +Я.,2° =

1=0 1=0

/--о

где: множество х, хь х2,..х, является классом X но модулю р: С, = 2' ш0(1 р. [< = 0,«1; п< к,<...< к,.

Злсмсты множества чисел класса являются убывающей последовательностью и определяются сверткой (2)

гдеу' = 1,2, ...,/ — номер итерации; к— старший разряд двоичного числа, полученный после у итерации; }'' - оператор извлечения ¡-го разряда двоичного предстаяле-ния числа, полученного после }-1 итерации; сс - вычет числа X по модулю р может при-

На основе предложенного метода, достоинством которого является отсутствие при вычислениях сложной операции деления, разработана и запатентована иерархическая нейронная сеть прямого распространения для определения вычета числа по модулю р (рисунок 1).

Входная информация поступает на нейроны входного слоя, которые играют роль фиксирования входного числа.

Синоптические веса

ии» определяются с,, где / -разрядность исходного числа или его классов, j,kJ - номера скрьпых слоев.

Вычисление остатка определяется иерархической нейронной

5>м>"

(1)

нимать значения от 0 до р~1.

Вход

а„ з,>-1 31 а0

I. !. ! !

! ) ( ) ... ¡I ( ; входной слой

( ) ... ( I скрытый слой

\

VI/,

\

\

( ) ' ' ' ( ) скрытый слой

(

' а

'т выходной слои

Рисунок I - Иерархическая нейронная сеть прямого раа ¡росл р;тения

сетью, основанной па базовых процессорных элементах с характеристиками взвешенного суммирования и оператора по модулю Число слоев нейронной сети определяется принципом рекурсивного сдваивания п логарифмическою суммирования, как flog,»], где п — число исходных двоичных разрядов, а время преобразования будет определяться 0(log2«) - циклами синхронизации Видно, что скорость обработки данных в рассмотренной схеме выше, чем у известных схем, где она определяется как (и -1) циклов синхронизации, которые содержат операцию деление

С целью повышения скорости преобразований разработан метод и ачгоригм параллельного определения вычета числа на основе использования распределенной арифметики и приведен синтез параллельной нейронной сети конечного кольца

Ключевым элементом эффективной реализации преобразователей двоичного кода в код СОК с применением ПЛИС Xilmx является применение уникального метода построения вычислительного процесса, известною как распределенная арифметика

Алгоритмы распределенной арифметики очень удобно реализуются с использованием распределенного ОЗУ ПЛИС, и благодаря данному сочетанию сложные алгоритмы преобразования данных могут быть реализованы на ПЛИС со значительно большей эффективностью, чем на обычной жесткой логике Для преобразования данных используется комбинация просмотровых LUT-таблиц (Look-up-table) и сумматоров, причем многие сложные арифметические функции в данном случае реализуются достаточно просто

Прямое преобразование двоичного числа в модулярное осуществляется с помощью модульного суммирования остатков по модулю /',(/ = 1,2, ,») в рафядов ~ форматов с учетом их весов

На основании сказанного любое двоичное число может быть записано в виде

if /и-1 X

* = (3)

где В — количество разрядов выбранного формата, М - степень формата,

х, - коэффициент 0 или 1, j - О в, 2 В, Ш - позиция формата, i - позиция разряда

в формате

Если развернуть выражение (3), тогда

Х = {х02°+ ¥xh_í2l'-^) 2"

+ И)

+(л02° + х,2,+ +*лч2/м) 2ЛМ

Из выражения (4) видно, что число \ может бы i ь представлено двоичным числом ширины Мх В разрадов

Если в качестве примера входное слово взягь как 32-разрядное число и число разрядов в формате взять равным 8 бит, тогда входное число может' быть выражено как комбинация четырех байтов

ф]-=л[и,31 24]2 1 + *{)/ 2л 1б]2" ■+ И 8)2'+д{н,7 (ф" (5)

Таким образом, остаток Х(п) по модулю р1 может быть представлен в виде 4-х ШТ-таблиц и 3-х нейронных сетей конечною кольца (НСКК) по модулям р:, а известные схемы для реализации этих функций используют 15 НСКК Выражение (5) представим в модулярном виде

1'.["]=|к".3' 2ау1\р +н".2э 1б]2"]? +|ф),15 8]2"]р +|<[п,7 о]2'| (б)

На основании вычислительной модели (6) разработана параллельная нейронная сеть конечного кольца, при этом время преобразования 32-го числа определяется гремя циклами синхронизации, в то время как, известные схемы такое преобразование осуществляют за 5 тактов синхронизация

С целью сокращения оборудования на основе предложенного параллельного метода определения вычета проведен синтез конвейерной нейронной сети конечного кольца (рисунок 2)

w, w, w, w,=i

Х[31,241

Х[23, lg

Ш

Х[7 0J

MUX

А

LUT

DogpJ

l*ML

ABl

[аб2

Рисунок 2 - С фук*г\ршш схема после тоютеш но-лпр шлельной конвейерной нейронной сеги конечного шпми

Схема сосгоиг из мультиплексора на 4 группы входов (разрядность каждой группы равна 1 байту) с адресным входом AB 1. [log /?(]-ШТ таблиц, ipex умножителей по модулю рг демульгиплексора DMX с адрес!тым входом AB 2, осутдествляющего подключение выхода LUT таблицы на один из четырех выходов и сумматора по mod р: Процесс преобразования подразделяется на 4 jrrana, каждый из которых выполняется определенной частью аппаратного обеспечения, причем все эти части могут работать параллельно Время преобразования 32-х разрядного числа определяется 4 циклами синхронизации, а аппаратные затраты сокращены в 3 раза по сравнению с параллельной схемой

Разработана матрица перехода от системы остаточных классов к обобщенной позиционной системе счисления и обратная матрица перехода от обобщенной позиционной системе счисления к системе осташчных классов, на основе которых синтезирована схема преобразования кода СОК в код ОПСС или кода ОПСС в код СОК Матрица перехода реализована на НСКК, количество которых определяется количеством модулей СОК, а время преобразования определяется временем преобразования в одной НСКК Дня восстановления чиста разработан метод ускоренного перехода от кортежа вычетов числа, представленного по модулям системы остаточных классов, к его позиционному представлению Данный метод разработан на основе совместною исло п>зоваиия Китайской теоремы об остатках и обобщенной позиционной системы счисления По сравнению с известным последовательным методом Гарнера выигрыш определяется выражением

ЗМ) 2

В третьем разделе разработаны теоретические основы и принципы построения многофункциональных модулярных устройств с использованием НСКК Разработаны методы и алгоритмы основных функциональных блоков модулярного нейрокомпьютера, которые повышают эффективность модулярных вычислений Исследованы возможные вариант реализации операции расширения кортежа вычетов по вновь введенным моду-

ю

лям СОК Разработал ми од параллельною расширения оепшсов СОК, достоинством которого является сокращение исходных данных дня расширения и возможность расширения одновременно по нескольким дополнительно введенным модулям, чго повышает быстродействие в к(п-1) раз по сравнению с мсточом I арнера, где «--число дополнительно введенных модулей, an- чис ю исходных модулей СОК 11роведен опачиз методой и алгоритмов масштабирования модучярных чисел Предложен метод нриблизшелыюго ускоренного масштабирования, который основан на введенном пошипи астральной функции для целого Л как

сМ=5>.

где /\ обозначает Л помодучю /),, a ш, обозначает <-ыи нес (значение)

Предложенный мегод обеспечивает маеппабиропапие числа в пределах представления СОК На основе мстода разработан алгоритм и нейронная есть дтя масштабирова-иия, которая содержит /i-ПСКК по модулям /дтде (=!, 2, , п и одну ПС КК по модулю разности подмпо/Kcci и

Ас(Л) = РгРк, где Pt = f] PL = f] ,, при огом Р, Pk = Р- Пpt р, /»„

.-I

и Pj / Рк = 1, приведенные у с "опия обеспечиваю! мачое значение дополншелыюго модуля коррекции результата

Время масштабирования опрсдслястея ьременем преобразования данных в одной НСКК, в го время как в известных с\емах время часипабировапчя липенпо зависш oi числа исходных модулей СОК

Проведенные исследования показаои, чю масштабирование модулярных чисел па произведение модулей может быть выполнено в рамках представления чисел в СОК без использования шерацин Эшм обеспечивается высокая скорость маенпабировапия модулярных чисел Разрабокш оршипальпыи ач-горитм комплексного обнаружения, локализации и ис-правчепия ошибок, который можно предст-ашнь в вцдс обоби ici moi и <ии xipi 11 ма I Вычисление цш||р Ol ICC Luin Л правильное, нида ошибки ист, сели Л неправильное - есть ошибка и io-

1 да переидем к икну 2

2 Вычисление цифр OIICC проекции числа Л, если проекция Лг где j = 1,2, (h t /), неправильная, го в разряде j ■ ici ошибки, если проекция

и

. р,

Ü)

Бю» НСКК фермеров ru inn цифр в продстэолемии ОПС

Б л о* р ифигу р а ции

Рису юк - Архитектор-» щшгшшой n ip уысльно ко1>всйсрм>и нейрон НОЙ CttH для коррекции ошибок

правильная, ю в данном p.upvto есть ошибка и тогда перейдем к Tuaiy 3

3 Вычисление чисеи aj и СОК и переход к шагу 4

4 В исходном числе Л, представленном в СОК заменяем цифру а1 Палом процесс корректировании ошибки заканчивайся

Па основании алгоритма разработка и злпагешовапа адаптивная параллелыга-конпеиерпая нейронная сеть для коррекции ошибок, рисунок 3

Предложенная многофункциональная адапшвная нейронная сеть позволяет обнаружив, локализовав и исправшь ошибку и параллельно-конвейерном режиме за (п+2) циклов синхронизации 2 цпкпа - для обнаружения ошибки, (/¡-I) циклов для локализации и 1 цикл дня исправление ошибки

Применение адашивноп парллтемыю-копвейерпой нейронной сети в модулярном ненрокомньклере с двумя кошрольпыми модулями позвозяет сохранить его работоспособности, при отказах любых двух каналов при этом он выполняет профамму при некотором уменьшении ючнос1и или скоросш вычислений, а в флдициоипом компьютере для реализации такой возможное! и необходим двойной или тройной просчет

Предложен алгоршм и разрабоипа нейронная сеть дня коррекции ошибок в ми-нимальпо-избьпочпои симметричном СОК Для правильного определения ошибки, как п поиожшельных, гак и в oipnuaie'iuiux числах введен сдвиг полярности, который обес-нсчиваег размещение по'южшельпых и отржинельных чисел симметричной СОК в рабочем диапазоне Время определения ошибки осущеет вчяегся за 4 цикла синхронизации

В четверюм разделе приведены исследования по анализу и сишезу струк-

[урно-фупкциоиа 1ыюй организации ст!казоуегойчивото модулярного нейрокомпьютера па основе многофункциональных устройсш, разработанных но ш ором и фетьем разделах Сии юзироилпа модель некюрной структуры модулярного нейрокомпьютера с одним 1IOIOKOM команд н miioihmh поймами данных па основе использования RISC архитектуры (рисунок 4)

В процессе

функционирования мадуляр! 101« ! id lpouoMi n.iai ера момеш времени rt

tfjnoK управленш Я f гнхронгзлш*

часи> каналов

в

('„<'» )

может о i казан,, го!да множество можно разбить па два подмножества

К

подмножество всех ожазакших каналов,

подм! южест но всех

работ ос! юсобпых каналов

Обозначим еосюянпе в момеш состоянием S„ Ю1да

Рис; нок 4 - ОбоСтепп ш кгорндя ш i :ik/]hi с.плач Moit (ь мо ^лярмиго HLiipuMJMin loicpj

Ау = {|о'||(1, ¡(2% , .¡ог,^!/ } - множество всех разрядов (данных), обеспечивающих допустимые пределы показателей качества решаемых задач, коюрые нейрокомпьютер способен обработать, находясь в состоянии т е для обработки которых в пеирокомпыотере еегьне-обчодимыс аппаратные и программные ресурсы, 1дс (=1, , пр,= тт«— число работоспособных каналов и состоянии £„ /г«- число отказавших каналов,

подмножество донных, которые способны обрабатывать работоспособным капал, К01да нейрокомпьютер находится в юстоянии тде

7=1,2, и

а

е Лу, А -

1 I1 ( 1 М

1 Л/; V. \ Л/', 1 ' 1/,

В неттропронессоре с постепенной деградацией оставшиеся исправные каналы используются для обработки данных При отказах каналов осуществ |ястся реконфигурация структуры нейропроцсесора для исключения всех нгш части отказавших канатов При этом производится повое распре деяние между работоспособными каналами тех данных, которые нейрокомпьютер в состоянии обработать

Важнейшими показателями качества функционирования нейрокомпьютера, функционирующего в СОК, являются следующие показатели

Функциональная мощность нспозиционного нейрокомпьютера в состоянии Л, определяется как

г<1е ту, - вес разряда \ А^ , характеризующий ею важность для решаемо» задачи, А, — множество разрядов, которые нейрокомпьютер способен обрабат ывать в состоянии 51,,

Под производительностью капала^, будем понимать среднее время (7^, ¡=Г„

у

, п) обработки данных одного разряда в СОК и суммарное время Т^ обработки в каначе р, всех данных, назначенных ему в состоячии Я, При этом Т^ в к но-чает время, необходимое дтя коррекции резутьгага, которое казалось бы, должно уменьшить общую производительность, но этою уменьшение компенсируется за счет применения ускоренных методов обработки данных, разработанных в диссертации

При деградации каналов нспозиционный нейрокомпьютер переходит в состояние и функционирует в сокращенной СОК, при ттом допустимое значение функциональной мощности £'10п определяется разбиением множества М„ всех состояний нейрокомпьютера на два подмножества М¡. }- подмножество состоянии работоспособности нейрокомпьютера, дтя которых

подмножество состоянии отказа, для тоторых

Рассмотрим вариаш построения отказоустойчивого мульгинсйропроцессора с организацией процесса повышения живучести за счет постепенной деградации вычислительной системы В качестве характеристики отказоустойчивости выбрана характеристика, связанная с порогом допустимых откатов, при которой нейрокомпьютер способен решать поставленную задачу при заданных требованиях по надежности, точности и производи тельное ги, которые связаны между собой благодаря уникальной способности кодов СОК по обменным операциям Например, для сохранения заданной надежности можно уменьшить точность вычислений или скорость обработки данных и наоборот Пусть система производит вычисления в диапазоне 1-байтоиото машинного слова Тогда, в соответствии с выражением (8), для некоторой совокупности отказавших каналов обработки информации, вычисления можно проводить без снижения качества функционирования (без снижения точности, те в нотном диапазоне [0 - 21)) Очевидно, что в данном случае это возможно ¡а счет наличия свойств живучести и отказоустойчивости нейрокомпьютера

В обшем случае, условие функционирования пейропроцессора на к - м уровне деградации определяется следующим образом

ГК^ ®

при ак< п

где ак - количество оснований СОК, которые отвечают работоспособным состояниям вычислительной системы,

р, ( основание работоспособно! о пейропроцессора

В работе рассмотрен пример конкретной реализ<щии метода обработки информации, представленной в СОК следующими основаниями (модулями) ррЗ, р;=4, р3=5, р4=7 и р-р р1=23 При этом считаем, что в пейропроцсссоре реализуйся два уровня деградации, при Р|=140 и Р2=60(табл 1) Условие деградации нейрокомпьютера для каждого уровня математически определяется следующим образом Для первст о уровня деградации

ПР. г1'- (9)

дня второго у ровня деградации имеем

ГК>р2, (ю)

В таблице 1 приведены состояния мультинеиропроцессора при различных уровнях деградации В частности в таблице представлено состояния работоспособности вычислительной сисгсмы (столбец 1, где «1» - неработоспособное состояние системы, а «О» работоспособное состояние), в столбце 2 - отказавшие каналы, в столбце 3 представлены условия деградации системы без снижения качества его функционирования, в сголбцах 6 и 8 представлены условия деградации со снижением качества функционирования системы относительно начального уровня, соответственно, для первого и второго уровней деградации, в столбце 5 приведены номера наборов модулей СОК

В табчиие 1 приведены условия функционирования системы на разных уровнях деградации, где количество (множество Р) работоспособных состояний (.знак «+» в столбцах 4, 7 и 9 таблицы I) резервированной вычислитечьпои сисге-

мы равно числу наборов модулей, соответствующих Рп = 10, Р| = 14, /',-20

Таким образом, предложенная резервированная вычислительная система в системе остаточных классов, обладает повышенной живучестью за счет увеличения количества работоспособных состояний путем использования постепенной деградации Рассмотренная конкретная структура и принципы функционирования системы обработки информации в СОК показала возможность обеспечения надежности и живучести вычислительной системы, которая функционирует в реальном времени, за счет эффею явного применения постепенной деградации путем использования непозиционных кодовых структур в СОК Таблица 1

Состояние мулыимикропроцессора при деградации его стр> кп^у рм

Конкретны. ЦП «.НИИ киек« СОКРИХСО- 1 щ ¡1 }|! 8 г 2 " 5 ? £ ПсрвЫ)()ровсньхф&1нвш (Л-1-М) Вг^|»1И \ ]>«, ня .цууцидт (Р чЛ)

! й. 1 о. 1 1 Ш| 1я я в 1 д | | 1 1*1 2 1 6 1 1 ^ мзН ! | 1 5 3 Ш ]|!

1 2 3 4 5 6 7 9

0 0 0 0 0 - - 0 - + - +

0 а 0 0 1 _й 7<-2Э + 1 - + - +

0 0 0 1 0 _Р1 5<23 + 2 - + +

0 0 0 1 1 РзР4 57>23 - 3 23 3 4>140 - +

1 1 1 0 0 Р!Р|[Ь - - 28 57<140 - 5 7<60 -

1 1 1 0 1 - 29 К140 - 5<60

1 1 1 1 0 . Г* Р|Р Р> - - 30 7<140 - 7--Я)

1 1 1 1 1 __РГР' - - 31 - - - -

Анализ данных таблицы 1 для конкретного примера показал, что из 32 состоянии, соответствующих 5 модулям системы СОК будут работоспособными в 10, 20 и 14 случаях, соответственно, для разных уровней деградации В некоторых случаях сохраняется работоспособность нейропро-цессора даже при двух отказавших каналах Таким образом, отказо-

устойчивость вычислительной системы повышается за счет принципа деградации структуры модулярного нейрокомпьютера

Не всегда можно ис- „ , _ . ....

править одиночную ошиб- коррекции ошибок га базе ПЛИС

ку, если ошибка появилась одновременно с переполнением Это один из примеров, когда остаточная арифметика не способна полноегью решить вопрос абсолютной коррекции ошибочных данных

Для решения этой проблемы используются НСКК и НС Хопфилда, а именно, обнаружение ошибки осуществляется НСКК, а локализацию и коррекцию ошибки на базе свойств нейронных сетей Хопфилда

Функциональное моделирование нейронной сети проведено на базе ПЛИС Х|1тх в среде \VebPACK 1БЕ Результаты синтеза, временное моделирование, используемое для оценки эффективности нейрокомпьютера приведены в приложениях 1 - 7

Синтезированная адаптивная параллельно-конвейерная нейронная сеть для коррекции ошибок в базисе ПЛИС приведена на рисунке 5

Оценка эффективности решения задач системного анализа адаптивной параллельно-конвейерной нейронной сети на базе ПЛИС подтверждают правильность теоретических исследований

В приложениях представлены листинги программ на языке УЬШЬ и временное моделирование адаптивно-конвейерной нейронной сети коррекции ошибок

Заключение. В диссертации проведено решение новой, актуальной научной задачи по разработке методов, алгоритмов нейронных сетей базисных усфойств, а также проведен синтез отказоустойчивого нейрокомпьютера на основе модулярной арифметики и нейронных сетей Проведенное в диссертации исследование, результаты решения частных задач, а так же результаты моделирования и анализа отказоустойчивости и производительности дали возможность получить следующие основные научные и практические результаты

1 Результаты проведенных исследований методов повышения отказоустойчивости систем обработки информации реального времени с учетом требований по производительности показали, что существующие и возможные перспективные пути решения данной задачи, базирующиеся в основном на использовании позиционных систем счисления, не могу г решить данную задачу без существенного улучшения основных характеристик вычислительных устройств

2 Проведенный в работе анализ влияния используемой системы счисления на основные характеристики вычислительных устройств показал, что с точки зрения обеспечения необходимых значений технических показателей надежности без снижения производительности обработки информации наиболее эффективным путем повышения отказоустойчивости является применение позиционно-остаточной арифметики Результаты теоретических исследований и временное моделирование показали, что использование модулярной арифметики существенным образом влияет на архитектуру и принципы функционирования систем обработки информации В работе показано, что применения непозиционных кодовых структур значительно повышает надежность и производительность обработки информации, что подтверждается приведенными в разделах расчетами и математическим моделированием

3 На основе разработанных базисных устройств (НСКК, нейронные сети расширения и масштабирования и другие) проведен синтез отказоустойчивого мо-

16

дулярного нейрокомпьютера векторной архитектуры Анализ принципов организации и функционирования модулярного нейрокомпьютера позволил реализовать основные функциональные устройства нейрокомпьютера на разработанных базисных элементах повышенной эффективности Проведен анализ эффективности использования методов, алгоритмов и нейронных сетей с точки зрения минимизации времени реализации основных операций без снижения надежности функционирования, который показал их практическую реализуемость

4 Суть разработанного в диссертации отказоустойчивого нейрокомпьютера, реализованного в модулярном нейросетевом базисе, направлена на повышение надежности с сохранением высокой производительности при обработке данных в реальном масштабе времени А именно

- представление и обработка информации в нейрокомпьютере осуществляется в непозиционной, позиционной, распределенной, и обобщенпо-позициошюй системах счисления,

- организация вычислительной базы мультинейропроцессора основана на применении высокопроизводительных 11СКК, предложенных и разработанных- в диссертации,

- повышение отказоустойчивости нейрокомпьютера базируется на предложенном в работе комплексном подходе, который включает в себя минимально-избыточную СОК, корректирующие свойства остаточных арифметических кодов, адаптивную параллельно-конвейерную нейронную сеть обнаружения, локализации и исправления ошибок, нейронную сеть для обнаружения ошибок при обработке отрицательных чисел, работающей с отрицательными числами для обнаружения, локализации и исправления ошибок, НСКК и сеть Хопфилда (Хэммиша) д 1я обнаружения и исправления ошибки в критических ситуациях (одновременное появление ошибки и переполнение) и связанность надежностных, точностных и скоростных характеристик за счет обменных операций между ними в модулярном нейрокомпьютере и принцип реконфигурации структуры нейрокомпьютера, обеспечивающая заданные характеристики при постепенной его деградации

5 В диссертации получены следующие научные результаты

- Впервые разработаны методы ускоренного обратного и прямого преобразования на основе распределенной арифметики (например, скорость преобразования 32-разрядного числа, разбитого на 4 группы в 16 раз выше, чем в известных схемах преобразования), множеств формирования классов чисел, которые в отличие от существующих, позволяют за счет более полною учета основных свойств позиционной и непозиционной арифмегики реализовать нейронные сети конечного кольца для быстрого вычисления основной немодулыюй базисной операции, а именно, определения вычетов (остатков) числа по модулям СОК

- Разработан метод расширения систем оснований, который обеспечивает высокую скорость одновременного расширения кортежа остатков СОК по нескольким вновь введенным модулям и метод масштабирования модулярных чисел на произведение модулей с логической глубиной, равной одной нейронной сети конечного кольца и временем масштабирования,

равным времени масштабирования числа на один модуль, и использование которых, в отличие от известных, позволяет существенно сократить время выполнения базисных операции, у которых преобразование линейно зависит от числа модулей СОК

- Получила дальнейшее развитие теория анализа и синтеза многофункциональных модулярных средств обработки информации за счет разработки в диссертации базисной нейронной сети конечного кольца, которая обеспечивает параллельную организацию модулярного нейрокомпьютера по малым модулям р„ где I = 1,2, па не по большому модулю

р = П/| Р1 р-<как это требуется по Китайской теореме об остатках

1=1

(КТО)

6 Практическое значение полученных результатов состоит в следующем Разработанные в диссертационной работе методы, алгоритмы, нейронные сети позволяют значительно повысить надежность и живучесть функционирования систем обработки информации без снижения производительности и за счет значительно меньшего количества введенного оборудования, чем в позиционных системах счисления

7 Отказоустойчивость разработанной векторной структуры модулярного нейрокомпьютера повышена за счет применения комплексного подхода к реализации принципа отказоустойчивости, а сохранения высокой производительности нейрокомпьютера обеспечивается использованием быстродействующих базисных функциональных устройств, предложенных в работе

8 Синтезированная векторная архитектура модулярного нейрокомпьютера с тибридной схемой обеспечения отказоустойчивости, обеспечивает отказоустойчивость системы со значительно меньшими аппаратными затратами Такой подход способен обнаружить и исправить ошибку с избыточностью 70%, в то время как традиционное аппаратное резервирование требует для этого 200%, которые необходимы при полной защите через аппаратную избыточность, при этом защита 90% вычислений обеспечивается применением корректирующих кодов, а 10% с использованием более дорогой аппаратной избыточности При использовании нейронных сетей с Ь нейронам, среди которых есть /•" дефектных нейронов достаточным условием обнаружение и восстановления правильного результата является условие ЗИ < Ь Кроме того разработанная система в СОК обладает повышенной живучестью при заданных характеристиках (надежность, точность, быстродействие) за счет увеличения количества работоспособных состояний путем испочьзоваиия постепенной деградации, так из 32 состояний, соответствующих пятимодульной СОК при заданных, например, 3 диапазонов представления данных, работоспособными будут 10, 20, 14 состояний нейрокомпьютера, в то время как в обычных условиях функционирования, используется одно состояние

9 Дальнейший возможный перспективный путь увеличения производительности, надежности, отказоустойчивости и живучести систем обработки информации реального времени может быть связан с научным направлением в области исследования квантовых модулярных вычислении

СПИСОК OCJ ЮВ11ЫХ РАБОТ ПО ТЕМЕ ДИССЕРТАЦИИ

I. Ста 1Ы1 в периодических научных изданиях, включенных в перечень ВАК РФ

1 Червяков НИ Применение нейронных сетей Хопфидцадля коррекции ошибок в модулярных нейрокомпьютерах [Тексту Н И. Червяков, Л.В 1J Ianoun шков, П A. Сахнюк, С В Ланриненко—М Нейрокомныогеры разрабеггкаиприменение —№ 11 -2002 —С 5-9

2 Червяков НИ. Структура моделей соединения искусстве!тых нейронных сетей и постоянных запоминающих устройств па основе сверхботыпих интегральных схем (Тексту Н И Червяков, А.В Шапошников, П А. Сахнюк, С В Ланриненко - М I (еирокомпыспе-ры разработка и примаicime 11 -2002 -С 10-16

3 Червяков НИ Архигиаура адаптивной параииельно-конвсйерной нейрогшои сети для коррекции ошибок в модулярных нейрокомпыегтерных системах [Текст] /НИ Червяков, В А Галкина, ЮА Стрекалов, С В Лавриненко - М Нейрокомпьютеры разработка и примените.—№6—2003 -С 47-60

4 Червяков Н И Oi|*]>eKiVBi нле методы обработкиданных при множественном их представлении в модулярных нейрокомпьютерах. [Текст] / НИ Червяков, ИВ Дьяченко, ИН Лавриненко, С В Лавриненко, А В Кондратов - М Нейрокомпьютеры разработка И прнмыiciше -№7-2005 -С 51-63

5 Червяков НИ Параллельный метод масштабирования модулярных чисел. [Текст] / НИ Червяков, А В Кондратов, Лавриненко С В -М Нейрокомпьютеры разработка и применение -№5 - 2007 -С 13-21

6 Червяков НИ Нейросепотая реализация дискретных вейвлег-преобразований [Текст] / НИ Червяков, ДВ Чупаков, С В Лавриненко - М Нсйрокоигпысггсры разработка и применение 1-2 -2008 -С 4-11

7 Червяков Н ИI Iefipoi пая сеть прямого pacnpocrpai iei гия для обработки дл шых в koi кчных кальнрх. [Текст] / Н И Червяков,СВ Лавриненко — Элекгромапипия безопаи теп, и защищенность инфокоммушткационных систем Приложение к журналу «Инфокоммуника-цио!втыхтехнологии»Выпуск 1 — Самара,ПГАТИ,2005 -С 184-190

II. Статьи в сборниках по итогам проведения международных научно-технических конференций*

8 Лавриненко С В Применение AN-кодов для коррекции ошибок в системе остаточных классоа [Текст]/С В Лавриненко, НИ Червяков.-Методы и алгоритм прикладной маг тематики в технике, медицине и экономике. Материалы IV Международной научно-практической конференции Часть 1 - г Новочеркасск, Высшее военное училище связи, 2004

9 Лавриненко С В. Нейронная сеть для исправления ошибок данных, п|>едс"глвлеп1гых в модулярном коде [Течет] / С В Лавриненко, НИ Червяков -Методы и алгоритмы прикладной математики в технике, мостине и экономике Материалы IV Международной научно-практической ко!к])еренции Часть 1 -г Новочеркасск, Высшее поенное училище связи, 2004

10 Лавриненко С В Использование «блуждающих» ключей в распределенных вычислительных сетях. (Текст] / С В Лавриненко, Н И Червяков. - Мет од!, i и алгоритмы прикладной математики в технике, медицине и экономике Материалы IV Международной научно-практической конференции. Часть 1 — г Новочеркасск; Высшее военное училище связи, 2004

11 ЧервяковНИ Методы и алгоритмы округления, масштабирования и деления чисел в модулярной арифметике [Текст] / НИ Червяков, ИН Лавриненко, ОС Мезенцева, С В

19

Лавриненко // Труды Юбилейной Между! вро; ц юй научной ко! itjiepcin um «50 лет модулярной арифметики», Зеленоград-МИЭ1 -2006-С 291-310

12 Черняков НИ Связанность обобщенной позиционной системы счисления и системы остаточных классов и ее применение в модулярных нейрокомпыстерных технологиях. [Текст] /НИ Червяков, С В Лавриненко — Инфокоммуникационные технологии в науке, производстве и образовании I международная научно-техническая конференция, г Ставрополе СевКавГТУ, 2004

13 Мочалов В П. Применение модулярной арифмешки и искусственных нейронных сетей для создания вычислительной базы цифровой фильтрации (Текст] / В П Мочалов, С В Лавриненко-Инфокоммутшкационныетехшлогии в науке, производстве и образовании 1П междутиродная научно-техническая конференция, г Ставрополь, СевКавГТУ, 2008 -С 194-197

14 Лавриненко С В Нейронная сеть для обнаружения ошибок в минимально-избыточной симметричной системе остаточных классов [Гека] / С В Лавриненко, А Н Головко, И Н. Лавриненко, AB Лавриненко, МА Оспищев, В В Ощднев - Инфокоммуникациоттые технологии в науке, производстве и образовании III междушродная научно-техническая конференция, т Ставрополь СевКавГТУ, 2008 -С 258-262

15 Лавринетгко С В Новые информационные технологии для параллельной обработки данных (Текст] / С В Лавриненко, НИ Червяков, ВГГ Мочалов, МА Оспищев // Сбор! гик материалов Третьей Всероссийской научно-практической конкуренции «Перспективные системы и задачи управлешш» Том 1,-г Таганрог, ТИЮФУ 2008 -С 169-171

П1 Статьи в тематических сборниках по итогам проведения региональных научно-технических конференций.

16 Лавриненко С В Нейронная сеть прямого распространения дня обработки данных в конечных кольцах. [Текст] / С В Лавриненко, Н И Червякоа // 48 научно-методическая конференция «Проблемы фтико-матемлгических наук»—Ставрополь, СГУ, 2003

17 ЛавриненкоСВ РазработкамегодаycKopeiиюго вычисления yiшверсалыюй iюзиттио!пюй характеристики [Текст] / С В Лавриненко // Материалы 53-й i аучно-методической конференции, г Ставрополь, СГУ, 2008 -С 31-34

IV. Патенты РФ.

18 ЧервяковНИ Адаптивная параллельно-конвейерная нейронная сеть для коррекции ошибок (Текст] /НИ Червяков, В А Галкина, ЮА Сгрекалов, С В Лавриненко. Патент на изобретсние№ 2274131 от 07 08 2003

19 ЧервяковНИ Нейроннаясетьконечного кольца. [1ексг]/НИ Червяков, В А Галкина, 10 А Сгрекалов, С В Лавриненко Паге1ггнаизобретение№2279132огг07082003

Личный вклад аспиранта Лавриненко С В

Все изложенные в работе результаты исследования получены при непосредственном участии автора

Автору принадлежат разработка методов, алгоритмов и принципов аппаратной реализации, вычислительных средств, функционирующих в системе остаточных классов, развитие теории корректирующих свойств остаточных кодов создаттие структурной схемы отказоустойчивого модулярного нейропроцессора, выбор и проведение экспериментальных исследований, интерпретация результатов исследования, формирование научных положений и выводов

Отпечатано в авторской редакции

Подписано в печать 11 09 2008 г Формат 60x84 1/16 Уел печ л - I 5 Уч-изд т - 1 Бумага офсетная Печать офсетная Заказ №457 Тираж 100 экз ГОУ ВПО «Северо-Кавказский государственный технический универешет» 355029, г Ставрополь, пр Кулакова, 2

Издательство Северо-кавказского государственного 1ехническою университета Отпечатано в типографии СевКавГТУ

Оглавление автор диссертации — кандидата технических наук Лавриненко, Сергей Викторович

ОБОЗНАЧЕНИЯ И СОКРАЩЕНИЯ.

ВВЕДЕНИЕ.

1. АНАЛИТИЧЕСКИЙ ОБЗОР СОВРЕМЕННЫХ ВЫЧИСЛИТЕЛЬНЫХ СРЕДСТВ ЦИФРОВОЙ ОБРАБОТКИ СИГНАЛОВ.

1.1 Анализ задач цифровой обработки сигналов и принципов построения высокопроизводительных и отказоустойчивых вычислительных устройств.

1.2 Исследование существующих и перспективных методов повышения отказоустойчивости и производительности систем обработки информации.

1.3 Теоретическое обоснование возможности использования модулярной арифметики и искусственных нейронных сетей для создания быстродействующих и высокоотказоустойчивых систем обработки информации реального времени.

1.4 Постановка задачи исследования.

1.5 Выводы по разделу 1.

2. РАЗРАБОТКА МЕТОДОВ И АЛГОРИТМОВ СТРУКТУРНО-ПАРАМЕТРИЧЕСКОГО СИНТЕЗА ФУНКЦИОНАЛЬНЫХ УСТРОЙСТВ ПРЯМОГО И ОБРАТНОГО ПРЕОБРАЗОВАНИЯ КОДОВ СИСТЕМЫ ОСТАТОЧНЫХ КЛАССОВ С ИСПОЛЬЗОВАНИЕМ ИСКУССТВЕННЫХ НЕЙРОННЫХ СЕТЕЙ.

2.1 Системный анализ различных форм представления данных в модулярных нейрокомпьютерах и обоснование необходимости перехода от одной формы к другой при позиционно-остаточной обработке данных.

2.2 Разработка метода и алгоритма определения вычета числа на основе использования множеств классов чисел по модулю и синтеза на его основе иерархической нейронной сети конечного кольца.

2.3 Разработка метода и алгоритма параллельного определения вычета числа на основе использования распределенной арифметики и синтеза на его основе параллельной нейронной сети конечного кольца.

2.4 Развитие метода параллельного определения вычета числа с целью синтеза на его основе конвейерной нейронной сети конечного кольца.

2.5 Разработка алгоритма функционирования устройства преобразования кода системы остаточных классов в код обобщенной позиционной системы счисления и наоборот.

2.6 Разработка метода ускоренного перехода от кортежа вычетов числа, представленного по модулям системы остаточных классов, к его позиционному представлению.

2.7 Выводы по разделу 2 104 3. РАЗРАБОТКА ТЕОРЕТИЧЕСКИХ ОСНОВ АНАЛИЗА И СИНТЕЗА

МНОГОФУНКЦИОНАЛЬНЫХ МОДУЛЯРНЫХ УСТРОЙСТВ С ИСПОЛЬЗОВАНИЕМ НЕЙРОННЫХ СЕТЕЙ КОНЕЧНОГО КОЛЬЦА.

3.1 Разработка метода и алгоритма расширения кортежа вычетов по вновь введенным модулям СОК.

3.2 Системный анализ методов и алгоритмов масштабирования модулярных чисел, применяемых в модулярных нейрокомпьютерах.

3.3 Разработка теоретических основ ускоренного метода масштабирования чисел и синтеза на его основе устройства масштабирования с использованием нейронных сетей конечного кольца.

3.4 Развитие теории корректирующих свойств модулярных кодов, используемых при обработке данных.

3.5 Особенности коррекции ошибок в минимально-избыточной симметричной системе остаточных классов.

3.6 Синтез структуры адаптивной нейронной сети для коррекции ошибок.

3.7 Выводы по разделу 3.

4. СИНТЕЗ ВЕКТОРНОЙ АРХИТЕКТУРЫ ОТКАЗОУСТОЙЧИВОГО МОДУЛЯРНОГО НЕЙРОКОМПЬЮТЕРА С ПЕРЕСТРАИВАЕМОЙ СТРУКТУРОЙ В ПРЕДЕЛАХ ЗАДАННЫХ ВРЕМЕННЫХ ПОКАЗАТЕЛЕЙ И ФУНКЦИОНАЛЬНОЙ МОЩНОСТИ.

4.1 Структурно-функциональная организация отказоустойчивого модулярного нейрокомпьютера и оценка эффективности качества и надежности модулярного нейрокомпьютера.

4.2 Анализ, оценка и выбор работоспособных состояний отказоустойчивого нейрокомпьютера при различных уровнях деградации структуры мультинейропроцессора.

4.3 Применение нейронных сетей Хопфилда для коррекции ошибок в модулярных нейрокомпьютерах.

4.4 Синтез и моделирование адаптивной параллельно-конвейерной нейронной сети коррекции ошибок на базе ПЛИС Xilinx.

4.5 Выводы по разделу 4.

Введение 2008 год, диссертация по информатике, вычислительной технике и управлению, Лавриненко, Сергей Викторович

Современное состояние развития инфокоммуникационных технологий в области автоматизации различных видов управления и связи характеризуется интенсивным внедрением новых принципов и подходов к обработке информации. Результаты теоретических и практических разработок отечественных и зарубежных специалистов со всей определенностью указывают на то, что одним из перспективных, многообещающих путей решения задач сокращения времени обработки информации и повышения надежности вычислительных средств является применение различных форм параллельной обработки данных, в том числе и на основе числовых систем с параллельной структурой. Одним из магистральных направлений, среди современных подходов к созданию отказоустойчивых высокопараллельных универсальных и специализированных средств обработки данных, является использование нейросетевого логического базиса.

Для представления и обработки данных в нейросетевых системах могут быть использованы позиционные и непозиционные системы счисления.

Позиционные системы обладают следующими положительными чертами:

- легкость сравнения двух чисел;

- простота введения и определения знака числа;

- умножение или деление на степень два (в случае двоичной системы счисления) и на степень десять (для двоично-кодированной системы) можно выполнять путем смещения цифр в регистрах сдвига;

- расширение диапазона чисел легко реализуется путем добавления определенного количества цифровых позиций;

- простота обнаружения переполнения диапазона чисел.

Однако при выполнении арифметических операций над числами, присущая позиционным системам счисления зависимость между разрядами числа, влечет за собой необходимость учета переносов из младших разрядов в старшие. Эта зависимость разрядов отягощает в значительной степени аппаратное выполнение операций и ограничивает возможности в достижении высокого быстродействия и простоты реализации.

Обойти скоростное ограничение можно двумя путями: использованием методов для уменьшения времени распространения переноса путем добавления специализированных схем организации переноса предварительным переносом или использованием систем счисления с отсутствием поразрядных связей, например, системы остаточных классов (СОК).

Преимуществами системы остаточных классов по • отношению к позиционным системам счисления являются:

- во-первых, арифметические операции сложения, вычитания и умножения могут выполняться параллельно над цифрами каждого разряда в отдельности;

- во-вторых, сложность логики обработки каждой цифры уменьшается. Таким образом, поразрядное выполнение модульных арифметических операций позволяет обеспечить высокое быстродействие нейрокомпьютера, а также дает возможность сохранять работоспособность и корректировать ошибки в динамике вычислительного процесса за счет применения раздельного резервирования вычислительных трактов малого объема.

Однако система остаточных классов обладает рядом недостатков, которые ограничивают область ее эффективного применения. Не являясь позиционной системой счисления, система остаточных классов не имеет благоприятных характеристик, присущих позиционным системам счисления.

Кроме модульных арифметических операций в модулярном компьютере часто возникает необходимость выполнения немодульных операций, т.е. операций, которые требуют знания величины всего числа в целом. Так, при определении знака числа, арифметическом сравнении чисел, масштабировании, округлении, а также при определении переполнения и выполнении некоторых других операций, необходимо знать расположение числа в числовом диапазоне, т.е. их позиционные характеристики. При выполнении такого рода операций в сочетании с операциями сложения, вычитания и умножения применение модулярной арифметики оправдано лишь в том случае, если имеются средства быстрого перехода из позиционной системы к модулярному представлению и обратно.

Признавая важность исследований в рассматриваемой области, отметим, что научных работ, посвященных сложным и многообразным проблемам теории и практики модулярной арифметики, реализуемой в нейросетевом логическом базисе, явно недостаточно. Кроме того, недостаточно рассмотрены вопросы обеспечения отказоустойчивости нейросетевых вычислительных средств.

Названные вопросы являются актуальными и еще не получили достаточно полного отражения в научной литературе, что обусловило проявление тенденции возрастания спроса на их решение.

Необходимо отметить, что в России сформированы направления в области теории нейронных сетей, обладающие приоритетом по отношению к зарубежным работам. Особо следует отметить работы В.В. Борисова, А.И. Галушкина, А.Н. Горбань, B.J1. Дунин-Барковского, В.И. Комашинского, В.В. Круглова, Е.М. Миркес, B.C. Медведева, В.Г. Потемкина, Д.А. Смирнова, С.А. Терехова, В.А. Шахнова.

Значительный научный вклад в теорию и практику создания вычислительных структур на основе модулярной системы счисления внесли отечественные и зарубежные исследователи: И.Я. Акушский, В.М. Амербаев, А.А. Коляда, С.С. Кукушкин, И.Т. Пак, М.В. Синьков, В.А. Торгашев, Н.И. Червяков, Д.И. Юдицкий, W.K. Jenkins, A. Svoboda, N. Szabo, М. Valach и др. Теоретические основы отображения арифметики системы остаточных классов на нейросетевые структуры заложены в работах D. Zhang, G. Jullien, W. Miller [19].

Таким образом, как с теоретической, так и с практической точки зрения следует признать необходимость в исследованиях вышеназванных проблем, носящих актуальный характер.

Объектом исследования является модулярный нейрокомпьютер нетрадиционной архитектуры с распределением ресурсов, предназначенный для обработки данных большой размерности в режиме реального времени.

Предметом исследования являются методы и алгоритмы обеспечения отказоустойчивого функционирования вычислительных средств и достоверности обрабатываемой информации.

Научная задача работы заключается в разработке структуры высокопроизводительного модулярного нейрокомпьютера цифровой обработки сигналов повышенной отказоустойчивости на основе применения позиционно-остаточной арифметики и нейронных сетей.

Поставленную научную задачу декомпозируем на ряд частных задач исследования:

Заключение диссертация на тему "Разработка отказоустойчивого мультинейропроцессора цифровой обработки сигналов"

4.5 Выводы по разделу 4

1. Проведен синтез отказоустойчивого нейрокомпьютера с постепенной деградацией, у которого исправные каналы применяются для решения задач, то есть все модули активны, при отказе модуля осуществляется реконфигурация нейрокомпьютера, для исключения канала и продолжение работы с меньшим числом каналов. Для эффективной организации отказоустойчивого функционирования модулярного нейрокомпьютера в качестве наиболее интересной характеристики выбран порог допустимых отказов, при котором нейрокомпьютер способен решать поставленную задачу.

2. Рассмотрены все составляющие комплексного подхода к отказоустойчивости, которые поддерживают во времени производительность нейрокомпьютера, связанную с надежностными характеристиками системы, за счет использования разработанных высокопроизводительных и надежных функциональных устройств нейрокомпьютера. При этом обеспечивается реконфигурация «живучих» вычислительных средств в динамике вычислительного процесса, благодаря замечательной особенности СОК -наличию обменных операций между надежностью, точностью и быстродействием. Рассмотренный конкретный пример в работе, использующий СОК из 5 модулей показал, что нейрокомпьютер будет работоспособен в 10, 20 и 14 состояниях, соответственно для разных уровней деградации при заданных требованиях по точности. При выходе из строя одного или двух каналов СОК нейрокомпьютер продолжает выполнять заложенную программу с заданной надежностью, но с меньшей точностью

3. Разработан алгоритм и проведен синтез нейронной сети, состоящей из нейронных сетей конечного кольца и нейронной сети Хопфилда, которая обеспечивает обнаружения и исправление ошибок в случае когда одновременно происходит и ошибка и переполнение динамического диапазона.

4. Проведен синтез и моделирование адаптивной параллельно-конвейерной нейронной сети в базисе ПЛИС Xilinx. Результаты синтеза и временного анализа адаптивной параллельно-конвейерной нейронной сети на языке VHDL подтверждает правильность теоретических исследований.

ЗАКЛЮЧЕНИЕ

В диссертации проведено решение новой, актуальной научной задачи по разработке методов, алгоритмов нейронных сетей базисных устройств, а также проведен синтез отказоустойчивого нейрокомпьютера на основе модулярной арифметики и нейронных сетей. Проведенное в диссертации исследование, результаты решения частных задач, а так же результаты моделирования и анализа отказоустойчивости и производительности дали возможность получить следующие основные научные и практические результаты.

1. Результаты проведенных исследований методов повышения отказоустойчивости систем обработки информации реального времени с учетом требований по производительности показали, что существующие и возможные перспективные пути решения данной задачи, базирующиеся в основном на использовании позиционных систем счисления, не могут решить данную задачу без существенного улучшения основных характеристик вычислительных устройств.

2. Проведенный в работе анализ влияния используемой системы счисления на основные характеристики вычислительных устройств показал, что с точки зрения обеспечения необходимых значений технических показателей надежности без снижения производительности обработки информации наиболее эффективным путем повышения отказоустойчивости является применение позиционно-остаточной арифметики. Результаты теоретических исследований и временное моделирование показали, что использование модулярной арифметики существенным образом влияет на архитектуру и принципы функционирования систем обработки информации. В работе показано, что применения непозиционных кодовых структур значительно повышает надежность и производительность обработки информации, что подтверждается приведенными в разделах расчетами и математическим моделированием.

3. На основе разработанных базисных устройств (НСКК, нейронные сети расширения и масштабирования и другие) проведен синтез отказоустойчивого модулярного нейрокомпьютера векторной архитектуры. Анализ принципов организации и функционирования модулярного нейрокомпьютера позволил реализовать основные функциональные устройства нейрокомпьютера на разработанных базисных элементах повышенной эффективности. Проведен анализ эффективности использования методов, алгоритмов и нейронных сетей с точки зрения минимизации времени реализации основных операций без снижения надежности функционирования, который показал их практическую реализуемость.

4. Суть разработанного в диссертации отказоустойчивого нейрокомпьютера, реализованного в модулярном нейросетевом базисе, направлена на повышение надежности с сохранением высокой производительности при обработке данных в реальном масштабе времени. А именно:

- представление и обработка информации в нейрокомпьютере осуществляется в непозиционной, позиционной, распределенной, и обобщенно-позиционной системах счисления;

- организация вычислительной базы мультинейропроцессора основана на применении высокопроизводительных НСКК, предложенных и разработанных в диссертации;

- повышение отказоустойчивости нейрокомпьютера базируется на предложенном в работе комплексном подходе, который включает в себя: минимально-избыточную СОК; корректирующие свойства остаточных арифметических кодов; адаптивную параллельно-конвейерную нейронную сеть обнаружения, локализации и исправления ошибок; нейронную сеть для обнаружения ошибок при обработке отрицательных чисел, работающей с отрицательными числами для обнаружения, локализации и исправления ошибок; НСКК и сеть Хопфилда (Хэмминга) для обнаружения и исправления ошибки в критических ситуациях (одновременное появление ошибки и переполнение) и связанность надежностных, точностных и скоростных характеристик за счет обменных операций между ними в модулярном нейрокомпьютере и принцип реконфигурации структуры нейрокомпьютера, обеспечивающая заданные характеристики при постепенной его деградации.

В диссертации получены следующие научные результаты: Впервые разработаны методы ускоренного обратного и прямого преобразования на основе распределенной арифметики (например, скорость преобразования 32-разрядного числа, разбитого на 4 группы в 16 раз выше, чем в известных схемах преобразования); множеств формирования классов чисел, которые в отличие от существующих, позволяют за счет более полного учета основных свойств позиционной и непозиционной арифметики реализовать нейронные сети конечного кольца для быстрого вычисления основной немодульной базисной операции, а именно, определения вычетов (остатков) числа по модулям СОК.

Разработан метод расширения систем оснований, который обеспечивает высокую скорость одновременного расширения кортежа остатков СОК по нескольким вновь введенным модулям и метод масштабирования модулярных чисел на произведение модулей с логической глубиной, равной одной нейронной сети конечного кольца и временем масштабирования, равным времени масштабирования числа на один модуль, и использование которых, в отличие от известных, позволяет существенно сократить время выполнения базисных операции, у которых преобразование линейно зависит от числа модулей СОК. Получила дальнейшее развитие теория анализа и синтеза многофункциональных модулярных средств обработки информации за счет разработки в диссертации базисной нейронной сети конечного кольца, которая обеспечивает параллельную организацию модулярного нейрокомпьютера по малым модулям pt, где i = 1,2,. п. п а не по большому модулю Р = -Рг •••^,,как это требуется по i=i

Китайской теореме об остатках (КТО).

6. Практическое значение полученных результатов состоит в следующем. Разработанные в диссертационной работе методы, алгоритмы, нейронные сети позволяют значительно повысить надежность и живучесть функционирования систем обработки информации без снижения производительности и за счет значительно меньшего количества введенного оборудования, чем в позиционных системах счисления.

7. Отказоустойчивость разработанной векторной структуры модулярного нейрокомпьютера повышена за счет применения комплексного подхода к реализации принципа отказоустойчивости, а сохранения высокой производительности нейрокомпьютера обеспечивается использованием быстродействующих базисных функциональных устройств, предложенных в работе.

8. Синтезированная векторная архитектура модулярного нейрокомпьютера с гибридной схемой обеспечения отказоустойчивости, обеспечивает отказоустойчивость системы со значительно меньшими аппаратными затратами. Такой подход способен обнаружить и исправить ошибку с избыточностью 70%, в то время как традиционное аппаратное резервирование требует для этого 200%, которые необходимы при полной защите через аппаратную избыточность, при этом защита 90% вычислений обеспечивается применением корректирующих кодов, а 10% с использованием более дорогой аппаратной избыточности. При использовании нейронных сетей с L нейронам, среди которых есть F дефектных нейронов достаточным условием обнаружение и восстановления правильного результата является условие 3F < L. Кроме того разработанная система в СОК обладает повышенной живучестью при заданных характеристиках (надежность, точность, быстродействие) за счет увеличения количества работоспособных состояний путем использования постепенной деградации, так из 32 состояний, соответствующих пятимодульной СОК при заданных, например, 3 диапазонов представления данных, работоспособными будут 10, 20, 14 состояний нейрокомпьютера, в то время как в обычных условиях функционирования, используется одно состояние.

9. Дальнейший возможный перспективный путь увеличения производительности, надежности, отказоустойчивости и живучести систем обработки информации реального времени может быть связан с научным направлением в области исследования квантовых модулярных вычислений.

Библиография Лавриненко, Сергей Викторович, диссертация по теме Системный анализ, управление и обработка информации (по отраслям)

1. Акушский И.Я. Машинная арифметика в остаточных классах. Текст. / И.Я. Акушский, Д.И. Юдицкий М.: Советское радио, 1968. - 440 с.

2. Амербаев В.М. Теоретические основы машинной арифметики. Текст. / В.М. Амербаев Алма-Ата: Наука, 1976. - 324 с.

3. Амербаев В.М. Модулярной арифметике 50 лет. Текст. / В.М. Амербаев, И.Т. Пак // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. - МИЭТ. - 2006. - с. 5-21.

4. Амербаев В.М. Модулярный быстродействующий фильтр. Текст. / В.М. Амербаев, А.П. Стемпковкий // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 250-267.

5. Акритас А. Основы компьютерной алгебры с приложениями. Текст.:[пер с англ] / А. Акритас М.: Мир, 1999. - 221 с.

6. Краснобаев В.А. Методы обработки информации в системе остаточных классов. Текст. / В.А. краснобаев, Я.В. Илюшко. Радио электроника и компьютерные системы. - 2004 г. - №2 (6). - с. 101-109.

7. Краснобаев В.А. Влияние формы кодирования операнда на надежность обработки цифровой информации Текст. В.А. Краснобаев //Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 350-361.

8. Коляда А.А. Модулярные структуры конвейерной обработки цифровой информации. Текст. / А.А. Коляда, И.Т. Пак Мн.: Университетское, 1992. -256 с.

9. Коляда А.А. Модулярные вычислительные структуры: вчера, сегодня, завтра. Текст. / А.А. Коляда, А.Ф. Чернявский // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 23-34.

10. Коляда А.А. Мультипроцессорные технологии модулярных вычисление. Текст. А.А. Коляда, Н.А. Коляда, А.Ф. Чернявский // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 225-238.

11. Лавриненко С.В. Разработка метода ускоренного вычисления универсальной позиционной характеристики. Текст. / С.В. Лавриненко Материалы 53-й научно-методической конференции, г. Ставрополь, СГУ, 2008. С. 31-34.

12. Маклеллан Дж. Применение теории чисел в цифровой обработке сигналов. Текст. / Дж. Маклеллан М.: Радио и связь, 1983. - 264 с.

13. Нейрокомпьютеры в системах обработки изображений. Кн. 7. Коллективная монография (серия «Нейрокомпьютеры и их применение») Текст. / Общая ред. А.И. Галушкина. М.: Радиотехника, 2003. - 192 с.

14. Нейрокомпьютеры в системах обработки сигналов. Кн. 9. Коллективная монография (серия «Нейрокомпьютеры и их применение») Текст. / Под ред. Ю.В. Гуляева и А.И.Галушкина. М.: Радиотехника, 2003. - 224 с.

15. Полард Дж. Быстрые преобразования Фурье в конечном поле Текст. / Дж. Полард // Применение теории чисел в цифровой обработке сигналов. М.: Радио и связь, 1983. - С. 147-156.

16. Программируемые логические интегральные схемы фирмы Xilinx. Текст. / Каталог продукции. Воронеж, Scan Engineering Telecom, 1999. - 36 с.

17. Ирхин В.П. Проектирование непозиционных специализированных процессоров. Текст. / В.П. Ирхин Воронеж, 1999, - 118 с.

18. Садыхов Р.Х. Исследование свойств различных моментных функций при распознавании рукописных символов. Текст. / Р.Х. Садыхов, М.Л. Селин-гер // Цифровая обработка изображений. Минск: ИТК, 2000. С.75-85.

19. Сверхбольшие интегральные схемы и современная обработка сигналов/Под ред. Г. Куна. Текст. М.: Радио и связь, 1989. - 472 с.

20. Сергеев В.В., Чернов А.В. Методы восстановления изображений, основанные на принципах теории распознавания образов / Тез. докл. РОАИ-3. -Нижний Новгород, 1997. 4.1. - С.256-260.

21. Сергиенко А.Б. Цифровая обработка сигналов. Текст. / А.Б. Серги-енко СПб.: Питер, 2003. - 604 с.

22. Смоленцев Н.К. Основы теории вейвлетов. Вейвлеты в MATLAB. Текст. / Н.К. Смоленцев М.: ДМК Пресс, 2005. - 304 с.

23. СодерстэндМ. Недорогой быстродействующий рекурсивный фильтр на основе арифметики остаточных классов. Текст. / М. Содерстэнд // ТИИЭР. -1977.-Т. 65. №7.-С. 95-99.

24. Тейлор Ф. Дж. Новый преобразователь из модулярного представления в десятичное. Текст. / Ф. Дж. Тейлор , В. Дирр-мл. ТИИЭР, т. 73, № 2, февраль, 1985.

25. Торгашев В.А. Система остаточных классов и надежность ЦВМ. Текст. / В.А. Торгашев М.: Сов. радио, 1973. - 120 с.

26. Полисский И.Д. Сравнение чисел в системе остаточных классов. Текст. / И.Д. Полисский // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 274290.

27. Жихарев В.Я. Влияние системы счисления на надежность ЭВМ. Текст. / В.Я. Жихарев, Я.В. Илюшко, В.А. Краснобаев. Радиоэлектроника и компьютерные системы. 2004, №1 (5). - с. 98-109.

28. Евдокимов А.А. Реализация модулярных нейронных вычислительных структур на базе ПЛИС. Текст. / А.А. Евдокимов // Труды Юбилейной

29. Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. - 2006. - с. 384-395.

30. Харатишвили Н.Н. Пирамидальное кодирование. Текст. / Н.Н. Харатишвили М.: Мысль, 1997. - 160 с.

31. Хэмминг Р.В. Цифровые фильтры. Текст. / Р.В. Хэмминг М.: Сов. радио, 1980.-224 с.

32. Червяков Н.И. Элементы компьютерной математики и нейроинфор-матики. Текст. / Н.И. Червяков, И.А. Калмыков, В.А. Галкина, Ю.О. Щелкуно-ва, А.А. Шилов. М.: Физматлит, 2003. 214 с.

33. Червяков Н.И. Принципы построения модулярных сумматоров и умножителей. Текст. / Н.И. Червяков, И.В. Дьяченко // Труды юбилейной конференции «Модулярная арифметика», Москва, 2005. 13 с.

34. Червяков Н.И. Применение вейвлет-анализа в задачах распознавания изображений. Текст. / Н.И. Червяков, И.В. Дьяченко // Материалы 50-й юбилейной научно-методической конференции «Университетская наука региону». - Ставрополь: СГУ, 2005. С. 134-137.

35. Червяков Н.И. Модулярные технологии в устройствах цифровой фильтрации. Текст. / Н.И. Червяков, И.В. Дьяченко // Вестник Ставропольского государственного университета, 2006. С. 100-103.

36. Червяков Н.И. Применение вейвлет-анализа в задачах распознавания и классификации изображений. Текст. / Н.И. Червяков, И.В. Дьяченко // Ин-фокоммуникационные технологии, г. Самара, № 4, 2005. С. 6-12.

37. Червяков Н.И. Модулярные параллельные вычислительные структуры нейропроцессорных систем. Текст. / Н.И.Червяков, А.В. Шапошников , С.А. Ряд-нов М.: Физматлит, 2002. - 288 с.

38. Червяков Н.И. Нейрокомпьютеры в остаточных классах. Текст. / Н.И. Червяков, П.А. Сахнюк, А.Н. Макоха- М.: ИПРЖР, 2003. 272 с.

39. Червяков Н.И. Методы и принципы построения модулярных нейрокомпьютеров. Текст. / Н.И. Червяков // Труды Юбилейной Международной научной конференции «50 лет модулярной арифметики», Зеленоград. МИЭТ. -2005. - С. 232-242.

40. Червяков Н.И. Применение нейронных сетей Хопфилда для коррекции ошибок в модулярных нейрокомпьютерах. Текст. / Н.И. Червяков, А.В.

41. Шапошников, П.А. Сахнюк, С.В. Лавриненко М.: Нейрокомпьютеры: разработка и применение. - № 11 - 2002.

42. Червяков Н.И. Нейронная сеть прямого распространения для обработки данных в конечных кольцах. Текст. / Н.И. Червяков, Ю.А. Стрекалов, С.В. Лавриненко. // 48 научно-методическая конференция «Проблемы физико-математических наук» — Ставрополь, СГУ, 2003.

43. Червяков Н.И. Параллельный метод масштабирования модулярных чисел. Текст. / Н.И. Червяков, А.В. Кондрашов, С.В. Лавриненко М.: Нейрокомпьютеры: разработка и применение. - № 7 - 2005.

44. Лавриненко С.В. Нейронная сеть для обнаружения ошибок в мини----мально-избыточной симметричной системе остаточных классов. Текст. / С.В.

45. Лавриненко, А. Н. Головко, И.Н. Лавриненко, А.В. Лавриненко, М.А. Оспищев, В.В. Сляднев Инфокоммуникационные т ехнологии в науке, производстве иобразовании: III международная научно-техническая конференция, г. Ставрополь, СевКавГТУ, 2008. -С. 258-262.

46. Червяков Н.И. Нейросетевая реализация дискретных вейвлет-преобразований. Текст. / Н.И. Червяков, Д.В. Чунаков, С.В. Лавриненко М.: Нейрокомпьютеры: разработка и применение. - № 1-2 - 2008. - с. 4-11.

47. Червяков Н.И. Адаптивная параллельно-конвейерная нейронная сеть для коррекции ошибок. Текст. / Н.И. Червяков, В.А. Галкина, Ю.А. Стрекалов, С.В. Лавриненко // Патент на изобретение № 2279131 от 07.08.2003.

48. Червяков Н.И. Нейронная сеть конечного кольца. Текст. / Н.И. Червяков, В.А. Галкина, Ю.А. Стрекалов, С.В. Лавриненко Патент на изобретение № 2279132 от 07.08.2003.

49. Червяков Н. И Модель и структура нейронной сети для реализации арифметики системы остаточных классов. Текст. / Н. И. Червяков, А. В. Шапошников, П. А. Сахнюк Нейрокомпьютеры: разработка, применение, № 10, 2001, С. 6-12.

50. Червяков Н.И. Структуры нейронных сетей конечного кольца. Текст. / Н.И. Червяков, С.Л. Ремизов М.: Нерокомпьютеры: разработка, применение. - 2004. - №12.

51. Шуба Ю.А. Оценка целесообразности применения системы остаточных классов в аппаратуре обработки сигналов. Текст. / Ю.А. Шуба // Радиотехника. Т.25.- 1980.-№1.-С.75-76.

52. Ярославский Л.П. Введение в цифровую обработку изображений. Текст. / Л.П. Ярославский М.: Сов. радио, 1979. - 371 с.

53. Baker L. VHDL programming with advanced topics, John Wiley & Sons. New York, 1993.

54. Bayoumi M.A., Jullien G.A., Miller W.C. A VLSI Implementation of Residue Adders, // IEEE Transactions on Circuits and Systems, vol. CAS-34, #3, 1987.

55. E. Di Claudio, F. Piazza, and G. Orlandi. Fast Combinational RNS Processors for DSP Applications // IEEE Transactions on Computers, 1995, pp. 624-633.

56. A. Garc'ia, U. Meyer-Base and F. Taylor. RNS Implementation of FIR Filters Based on Distributed Arithmetic Using Field-Programmable Logic // Proc. of the 1999 IEEE International Symposium on Circuits and Systems, 1999, vol. 1, pp. 486-489.

57. V. Hamann and M. Sprachmann. Fast Residual Arithmetic with FPGAs // Proc. of the Workshop on Design Methodologies for Microelectronics, Slovakia, Sept. 1995.

58. W. Jenkins Use of residue number in design of finite impulse response digital filter/ЛЕЕЕ Trans, on Circuits and Syst. 1977. vol.GAS-24, №4. P. 191-200.

59. G. Jullien A VLSI implementation of KNS-Based architec-tures/TInternational Symposium on Circuits and Systems, Japan, 1985.

60. D. Miller An implementation of the IMS algorithm in the BNS//IEEE Trans.on Circuits and Syst. 1984. vol.CAS-31, № 5. P. 452-461.

61. Paul E. Beckmann, R. Bruce. Fast Fault-Tolerant Digital Convolution Using a Polynomial Reside Number System. // IEEE Transactions on Signal Processing pp 2300-2313.

62. Goswami J.C., Chan A.K. Fundamentals of Wavelets. Theory, Algorithms, and Applications. Wiley, 2000. 306 p.

63. Hartley R., Corbett P. Digit-Serial Processing Techniques // IEEE Transactions on Circuits and Systems, vol. 37, 1990. Pp. 707-719.

64. Hernandez E., Weiss G. A First Course on Wavelets. CRC Press, 1996.454 p.

65. Hiasat A.A. New Efficient Structure for a Modular Multiplier for RNS, // IEEE Transactions on Computers, vol. 49, #2, 2000. pp. 170-174.

66. Hupkens Th. M. Properties of Zernike and Legendre moments of grayscale images // Advances in Visual Form Analysis, 1997. P. 267-276.

67. Jain A.K. Fundamentals of Digital Image Processing. New Jersey: Prentice Hall, 1989.-570 p.

68. Jawerth В., Sweldens W. An Overview of Wavelet Based Multiresolution Analysis // SIAM Rev., 1994, №3. P. 377-412.

69. Johnson E. A Digital Quarter Squarer Multiplier // IEEE Transactions on Computers, Marzo 1980.

70. Johnston J. A Filter Family Designed for Use in Quadrature Mirror Filter Banks // Proc. of IEEE International Conference on Acoustics, Speech and Signal Processing, 1980. P. 291-294.

71. Jouko Lampinen, Jorma Laaksonen, Erkki Oja. Neural Networks Systems, Techniques and Application in Pattern Recognition. Helsinki University of Technology, Department of Electrical Engineering, Laboratory of Computational Engineering, 1997.-61 p.

72. Jullien G.A. Implementation of Multiplication, Modulo a Prime Number, with Applications to Number Theoretic Transforms, // IEEE Transactions on Computer, vol. C-29, #10, 1980. pp. 899-905.

73. Kim J.T., Lee Y.H., Isshiki Т., Kunieda H. Scalable VLSI Architectures for Lattice Structure-Based Discrete Wavelet Transform // IEEE Transactions in Circuits and Systems II: Analog and Digital Signal Processing, vol. 45, #8, 1998. Pp. 1031-1043.

74. Knowles G. VLSI Architecture for the Discrete Wavelet Transform // Electronic Letters, vol. 26, #15, Pp. 1184-1185, 1990.

75. Krishna H. Digital Signal Processing Algorithms, Number Theory, Convolution, Fast Fourier Transforms, and Applications. CRC Press, 1998.

76. Krishna H., Krishna В., Lin K.Y., Sun J.D. Computational Number Theory and Digital Signal Processing. Fast Algorithms and Error Control Techniques. -CRC Press, 1994.

77. Krichnan R., Jullien C.A., Miller W.C. Complex digital signal processing using quadratic resudue number system/ЛЕЕЕ Trans. Acoust. 1986. - ASSR - 34. -P. 116-167.

78. L. Maltar, F.M.G. Franca, V.C. Alves Implementation of RNS Addition and RNS Multiplication into FPGAs // Proc. of the 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 1998, pp. 331-332.

79. U. Meyer-Base, A. Garc'ia, and F. Taylor. Implementation of a Communications Channelizer Using FPGAs and RNS Arithmetic // Journal of VLSI Signal Processing, vol. 28, 2001, pp. 115-118.

80. Naylor D., Jones S. VHDL: A logic synthesis approach. Cambridge University Press, 1997. - 339 p.

81. Neto J.P., Siegelmann H.T., Costa J.F. Turing Universality of neural nets (revisited). // Lecture Notes in Computer Science 1333, Springer-Verlag, 1997. pp. 361-366/

82. J. Ram'irez, A. Garc'ia, P.G. Fern'andez RNS-FPL Merged Architectures for the Orthogonal DWT // Electronics Letters, vol. 36, no. 14,2000, pp. 1198-1199.

83. J. Ram'irez, A. Garc'ia, P.G. Fern'andez An Efficient RNS Architecture for the Computation of Discrete Wavelet Transforms on Programmable Devices // Proc. of the X European Signal Processing Conference, Sept. 2000, pp. 255-258.

84. J. Ram'irez, A. Garc'ia, P.G. Fern'andez A New Architecture to Compute the Discrete Cosine Transform Using the Quadratic Residue Number System // Proc. of the 2000 International Symposium on Circuits and Systems, May 2000, vol. 5, pp. 321-324.

85. H. Safiri, H. Ahamadi, V. Dimitrov. Design and FPGA Implementation of Systolic FIR Filters Using the Fermat ALU // Proc. of the Asilomar Conference on Signals, Systems and Computers, Pacific Grove, 1996.

86. Huang A. Number theoretic processor / Патент США №4281391 NDCK13/24.

87. Srubo N., Tanako. Residue arithmetic and is applications to computer technology. -New York, 1967, pp. 238.

88. G. Strang and T. Nguyen, Wavelets and Filter Banks. Wellesly-Cambridge Press, 1997.

89. M. Vetterli and J. Kovacevic, Wavelets and Subband Coding. Englewood Cliffs, NJ: Prentice Hall, 1995.

90. D.Zhang Parallel designs for Chinese remainder conversion // Proc. Int. Conf. Parallel Process (17-21 Aug.1987). University Park, Pa, 1987. P. 557 559.