автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.05, диссертация на тему:Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона

кандидата технических наук
Каплун, Дмитрий Ильич
город
Санкт-Петербург
год
2009
специальность ВАК РФ
05.13.05
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона»

Автореферат диссертации по теме "Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона"



На правах рукописи

Каплун Дмитрий Ильич

РАЗРАБОТКА МЕТОДОВ ЦИФРОВОЙ ФИЛЬТРАЦИИ В ЗАДАЧАХ МОНИТОРИНГА ШИРОКОГО ЧАСТОТНОГО ДИАПАЗОНА

Специальность: 05.13.05 - Элементы и устройства вычислительной техники и систем управления

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата технических наук

2 В г) г-

Санкт-Петербург - 2009

003481092

Работа выполнена в Санкт-Петербургском государственном электротехническом университете «ЛЭТИ» им. В.И. Ульянова (Ленина)

Научный руководитель -

кандидат технических наук, доцент Канатов Иван Иванович

Официальные оппоненты:

доктор технических наук, профессор Ипатов Валерий Павлович кандидат технических наук, профессор Астратов Олег Семёнович

Ведущая организация - Санкт-Петербургский государственный университет телекоммуникаций им. проф. М. А. Бопч-Бруевича (СПбГУТ)

Защита диссертации состоится «32» 2009 г. в_часов на заседании совета

по защите докторских и кандидатских диссертаций Д 212.238.02 Санкт-Петербургского государственного электротехнического университета «ЛЭТИ» им. В.И. Ульянова (Ленина) по адресу: 197376, Санкт-Петербург, ул. Проф. Попова, 5.

С диссертацией можно ознакомиться в библиотеке университета. Автореферат разослан «/(?"» А Г) 2009 г.

Ученый секретарь

совета по защите докторских и кандидатских диссертаций

Сафьянников Н.М.

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы. В последние годы перед различными военными ведомствами и гражданскими службами все острее встает проблема реализации систем мониторинга широкого частотного диапазона (ШЧД).

Многими государственными и коммерческими организациями разных стран был разработан целый ряд аппаратно-программных комплексов (АПК) для решения задач мониторинга ШЧД, нашедших применение в системах радиомониторинга, технического мониторинга (анализ вибраций и поиск резонансных частот), тем не менее, в отдельных областях эта проблема так полностью и не решена. Например, в области радиомониторинга это обусловлено целым рядом причин. В первую очередь, значительным расширением частотного диапазона - до нескольких гигагерц, появлением новых технологий передачи, приёма, обработки информации, увеличением числа абонентов.

В связи с постоянно повышающимися требованиями по качеству функционирования, стремительно растёт сложность различных систем мониторинга ШЧД. Это приводит к необходимости полной автоматизации таких систем, внедрения новых средств и методов, позволяющих уменьшить время реакции, аппаратные затраты, повысить скорость, надёжность и стабильность обработки информации.

Еще недавно задача мониторинга ШЧД сводилась к разбиению гребенкой фильтров всего диапазона частот на весьма ограниченное множество поддиапазонов, а единственное предъявляемое требование к фильтрам - отсутствие пропусков между ними. Постоянно растущее разнообразие типов модуляции, уплотнение каналов, использование одной полосы частот в системе множественного доступа коренным образом поменяло и требования к параметрам цифровых фильтров (ЦФ). Поскольку вторичная обработка выделенного сигнала часто производится в спектральной области, характеристики фильтров селекции должны быть максимально согласованы со спектром принимаемого сигнала. Усложнение требований к фильтрам для задач мониторинга ШЧД выдвинуло на первый план проблему разработки путей преодоления ограничений, обусловленных имеющимися ресурсами: возможностями элементной базы, допустимой величиной программно-аппаратных затрат.

Цель работы - повышение эффективности алгоритмов и устройств цифровой фильтрации в задачах мониторинга широкого частотного диапазона путем разработки методов их построения, оптимизирующих использование программных и аппаратных средств.

В соответствии с указанной целью в работе сформулированы и решены следующие задачи:

1. Анализ свойств и структур различных типов цифровых фильтров для обработки информации в целях задач мониторинга ШЧД, а также анализ характеристик алгоритмов ЦФ.

2. Анализ соответствия характеристик ЦФ характеристикам обрабатываемых сигналов.

3. Разработка методов и путей совершенствования алгоритмов и устройств ЦФ в задачах мониторинга ШЧД, определение условий целесообразности их использования.

4. Определение перспективных направлений технической реализации и внедрения разработанных методик, алгоритмов и устройств при создании оборудования мониторинга ШЧД.

Методы исследования. Перечисленные задачи решены методами теории линейной аппроксимации, гармонического и спектрального анализа, г -преобразования, теории групп, теории конечных полей. Для подтверждения полученных теоретических результатов использовались методы численного анализа и моделирования.

Научную новизну работы составляют следующие положения:

1. Предложен новый подход к использованию банков цифровых фильтров в задачах мониторинга ШЧД, заключающийся в процедуре последовательной детализации выбранных частотных диапазонов. Усовершенствованы с учётом особенностей современной аппаратной базы методы построения банка цифровых фильтров: дискретное преобразование Фурье (ДПФ) с расширенным весовым окном, конвейерное частотное преобразование (КЧП), взвешенное перекрывающееся сложение (ВПС) - и определены условия целесообразности их применения.

2. Разработан метод синтеза структуры цифровых фильтров без умножений на основе частотных характеристик его компонентов.

3. Предложены структура и способ представления данных для реализации цифровых фильтров - цифровые фильтры в конечных полях, позволяющие распараллелить вычисления, практически полностью отказаться от операции умножения в фильтре, существенно сократить аппаратные затраты.

4. Обобщён метод симметрирования амплитудно-частотной характеристики (АЧХ), позволяющий более чем в три раза сократить объём вычислений, для основных типов избирательности фильтров. Произведено исследование эффективности применимости метода. Выполнены численные оценки эффективности. Приведены специализированные структуры для аппаратной реализации фильтров.

5. Разработан метод ш-октавной фильтрации, позволяющий значительно сократить объём вычислений.

Научные положения, выносимые на защиту:

1. Методика первичной обработки информации в задачах мониторинга ШЧД банком цифровых фильтров. Усовершенствованные методы реализации банков цифровых фильтров: ДПФ с расширенным весовым окном, конвейерное частотное преобразование, взвешенное перекрывающееся сложение.

2. Методы цифровой фильтрации, повышающие качество и надёжность обработки информации в задачах мониторинга ШЧД, эффективные по критериям минимума вычислительной сложности и аппаратных затрат: цифровые фильтры без умножений, цифровые фильтры в конечных полях, цифровые фильтры с симметричными АЧХ.

3. Метод ш-октавной фильтрации с сокращением объёма вычислений для работы в широком частотном диапазоне.

Практическая значимость работы

1. Разработанные методики синтеза ЦФ и предложенные на этой основе структурные схемы обеспечивают создание эффективных блоков цифровой фильтрации для оборудования мониторинга ШЧД при повышении качества обработки информации, сокращении времени анализа, минимизации программно-аппаратных затрат на их реализацию.

2. Адаптация разработанных методов цифровой фильтрации к современной аппаратной базе цифровой обработки сигналов (ЦОС) - процессорам ЦОС, программируемым логическим интегральным схемам (ПЛИС).

3. На основе результатов диссертационных исследований внедрены новые технические решения, подтверждённые актами о внедрении.

Достоверность результатов исследования подтверждается корректным использованием математического аппарата, результатами экспериментальных исследований на программных моделях и результатами испытаний реальных систем, при создании которых использовались предложенные модели и методы.

В недрение результатов

Результаты диссертационной работы использовались:

- в работах по созданию радиоприёмных устройств (ОКР «Жасмин-СПВ» ФГУП «НИИ «Вектор» г.Санкт-Петербург);

- в работах по созданию аппаратуры пеленгования («Жасмин-2М» ФГУП «НИИ «Вектор» г.Санкт-Петербург);

- в НИР, выполнявшихся по заказам ФГУП «НИИ «Вектор», «РТИ Система» г. Санкт-Петербург.

Внедрение результатов диссертационной работы и достигнутый при этом эффект подтверждены соответствующими актами.

Созданные программно-аппаратные продукты успешно используются для решения практических задач. Работа поддержана персональными грантами ректора СПбГЭТУ среди студентов и аспирантов за 2007, 2008 гг., грантом правительства Санкт-Петербурга за 2008 г., персональным грантом фонда содействия развитию малых форм предприятий в научно-технической сфере по программе УМНИК на выполнение НИОКР по теме «Разработка цифровых фильтров в полях Галуа» (№ У-2008-6/3 «Фильтр», 2008), персональный грант Фонда содействия развитию малых форм предприятий в научно-технической сфере по программе «Ползуновские гранты-2009».

Апробация результатов исследования. Основные положения и результаты работы докладывались и обсуждались на всероссийской конференции «Завали-шинские чгения'08» (г. Санкт-Петербург, 2008 г.), 63-й и 64-й научно-технических конференциях, посвященных Дню радио (г. Санкт-Петербург, 2008-2009 г.), 5-й научно-технической конференции «Управление и информационные технологии» (г. Санкт-Петербург, 2008 г.), 12-й международной Балтийской олимпиаде по автоматическому управлению (г. Санкт-Петербург, 2008 г.), конференции «Научно-технические проблемы в промышленности», посвященной столетию ФГУП «НИИ «Вектор» (г. Санкт-Петербург, 2008 г.), 61-й конференции профессорско-преподавательского состава СПбГУТ им. Бонч-Бруевича (г. Санкт-Петербург, 2009 г.), 11-й международной конференции 08РА-2009 «Цифровая обработка сигналов и её применение» (г. Москва, 2009 г.), 20-й Межвузовской научно-технической конференции «Военная радиоэлектроника: опыт использования и проблемы, подготовка специалистов» (г. Санкт-Петербург, 2009 г.), 63-й научно-технической конференции студентов, аспирантов и сотрудников СПбГУТ им. Бонч-Бруевича (г. Санкт-Петербург, 2009 г.), а также на конференциях профессорско-преподавательского состава СПбГЭТУ «ЛЭТИ» (г. Санкт-Петербург, 2007-2009 г.)

Публикации. По теме диссертации опубликованы 22 научные работы, включая 12 статей (3 статьи опубликованы в изданиях, определенных ВАК) в периоди-

ческих научных изданиях и 10 работ в материалах международных, национальных и региональных конференций. Отдельные результаты теоретических и экспериментальных исследований отражены в отчетах по научно-исследовательским работам и материалах опытно-конструкторских работ.

Новые технические решения защищены патентом на полезную модель.

Структура и объем работы. Диссертация состоит из введения, четырех глав, заключения, списка литературы, включающего 72 наименования. Основная часть работы изложена на 169 страницах машинописного текста. Работа содержит 95 рисунков и 10 таблиц.

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обосновывается актуальность диссертационной работы, определяются цель и задачи исследования, формулируются научная новизна и практическая ценность результатов.

В главе 1 рассмотрены основные задачи мониторинга ШЧД такие, как радиомониторинг, мониторинг вибраций и резонансных частот в технических системах и определены их характерные черты.

Проанализировано современное состояние алгоритмов и устройств цифровой фильтрации. Работы по цифровой фильтрации Рассмотрены основные методы цифровой фильтрации для задач мониторинга ШЧД.

Первый крупный вклад в теорию цифровой обработки сигналов, касающийся анализа и синтеза цифровых фильтров, был сделан Кайзером; он показал, как можно рассчитывать цифровые фильтры с нужными характеристиками, используя билинейное преобразование. Примерно тогда же (1965 г.) появилась статья Кули и Тьюки о быстром методе вычисления дискретного преобразования Фурье, давшая мощный толчок развитию этого нового технического направления. Позже метод был развит и стал широко известен как быстрое преобразование Фурье (БПФ). Ценность этого метода заключается в сокращении времени вычисления дискретного преобразования Фурье (на один-два порядка для большинства практических задач).

Опубликование статьи Кули и Тьюки ускорило развитие строгой и достаточно полной теории цифровой фильтрации. Вопросы построения эффективных алгоритмов цифровой фильтрации, рассматривались в работах Э. Айфичера, Р. Блейху-та, Б. Голда, Б. Джервиса, А. Константинидеса, Г. Лэма, Дж. Макклелана, А. Оп-пенгейма, Т. Паркса, Л. Рабинера, Р. Хемминга. Заметный вклад в развитие цифровой фильтрации внесли отечественные ученые В.В. Витязев, Л.М. Гольденберг, В.П. Дворкович, A.A. Ланнэ, БД. Матюшкин, А.Б. Сергиенко, А.И. Солонина.

В последнее время наблюдается тенденция к преобладанию фильтров с конечной импульсной характеристикой (КИХ).

КИХ-фильтр описывается выражением:

у(и) = ХМ"-0 (1)

¡=0

где у(п)-сигнал на входе фильтра; х(п)-сигнал на выходе фильтра; fy -коэффициенты фильтра.

Таким образом, задача синтеза КИХ-фильтра сводится к вычислению коэффициентов Ь, такого фильтра. Как известно bj-представляют собой коэффициенты

импульсной характеристики фильтра и определяют практически все возможные параметры цифрового фильтра.

Установлены основные проблемы цифровой фильтрации при работе в АПК

ШЧД:

- высокие скорости обработки;

- необходимость обработки больших объемов данных;

- необходимость изменения ЧХ фильтра под вид спектра сигнала;

- необходимость обеспечения реального масштаба времени при обработке.

Проанализирована современная программно-аппаратная база для реализации алгоритмов ЦФ. Сформулирован и обоснован критерий оптимальности алгоритмов ЦФ.

При проектировании фильтров всегда старались выполнить заданные равномерные требования при минимальном порядке передаточной функции (ПФ) или минимальной длине импульсной характеристики (ИХ). Следствием явилась чебы-шёвская аппроксимация АЧХ.

С позиций ЦОС, учитывая также особенности современной аппаратной базы, представляется целесообразным иной подход к экономному построению цифровых цепей. В качестве возможного и целесообразного параметра предлагается рассматривать число операций '\У на один выходной отсчет в установившемся режиме. Если принять этот показатель, то уменьшение числа ненулевых коэффициентов в ПФ фильтра, ири выполнении тех же требований к АЧХ, является, безусловно, полезным результатом, упрощающим схему и уменьшающим \У. Это эквивалентно расширению частотного диапазона или увеличению, например, числа синтезируемых фильтров на одном кристалле. Помимо изложенного, уменьшение числа умножителей в ряде случаев приводит к снижению уровня внутренних шумов.

В главе 2 рассмотрен новый подход к первичной обработке информации в задачах мониторинга ШЧД с использованием методов многоканальной цифровой фильтрации (банк цифровых фильтров).

Банк цифровых фильтров является эффективной системой цифровой многоканальной фильтрации и предназначен для разбиения всего входного сигнала на несколько подканалов. В рассматриваемом случае банк фильтров - совокупность однотипных полосовых фильтров, перекрывающих весь исследуемый частотный диапазон.

Рассмотрены варианты построения банка многоканальных цифровых фильтров и их реализация: ДПФ с расширенным весовым окном, КЧП, ВПС. Отличие этих методов состоит в подходе к упрощению аппаратной реализации банков фильтров.

Первый из этих методов - «ДПФ с расширенным весовым окном», использует БПФ как совокупность операций гетеродинирования и суммирования. В этом методе весовые коэффициенты и их количество выбираются исключительно из соображения получения желаемой частотной характеристики канала.

Для того чтобы частотные характеристики каналов не перекрывались, интервал, на котором происходит взвешивание сигнала, должен быть больше интервала ДПФ-анализа. Фактически нужно сначала сформировать взвешивающим окном желаемую форму частотной характеристики, а потом проводить ДПФ.

Если снять ограничение на длину интервала взвешивания N=8! и заменить его на более простое - = Ь=2, 3, 4,..., т. е. N больше, но кратно интервалу

ДПФ-анализа, то подбором взвешивающего окна можно задать

любую форму частотной характеристики фильтра. Это позволит обеспечить и отсутствие перекрытия соседних каналов, и максимально равномерную характеристику в полосе пропускания. Как показывают вычисления, для обеспечения перекрытия соседних каналов менее 5% при любом К длина окна N должна быть в 1216 раз больше К.

КЧП представляет собой последовательный сдвиг сигнала на ±/-74 и фильтрацию ФНЧ с полосой пропускания [-.Р/^+РМ], где Б - ширина полосы сигнала (рис. 1). В результате такого преобразования сигнал разделяется на два канала: нижний — С-/7/2;0] и верхний - [0; !• /2].

Используя данный метод можно разделить спектр исходного сигнала на нужное число каналов, при этом число каналов N = 2", где п - число стадий (ступеней конвейера или уровней дерева преобразования).

-fs 12 ^ ?______• Fs/2

/ ............."Г .......-.......\

■FiH D 1 « 1

Filler Bank С Output

Рис. 1 - Конвейерное Частотное Преобразование

На всех ступенях КЧП многократно повторяется следующие три последовательные операции:

1) гетеродинирование;

2) НЧ фильтрация КИХ-фильтром;

3) децимация.

Идея метода состоит в том, что используется один и тот же фильтр, один и тот же гетеродин, а децимация всегда производится в два раза.

Показано, что использование фильтров с симметричными АЧХ в КЧП позволяет в 2-3 раза сократить аппаратные затраты.

Для критически децимированного банка фильтров полифазная декомпозиция более предпочтительна. Полифазная реализация описывается следующим уравнением:

*(«) = ZlW* = FFTp{Pp(m)*xp(m)}. (3)

p. о

Где: * - обозначает свертку, Рр(т) = h(mM-p), х (т) = x(mM+p).

Полифазная структура (рис. 2) предполагает, что выходные отсчеты критически децимированны, т.е. частота дискретизации в каждом канале в К раз меньше чем частота дискретизации входного сигнала, где К - число каналов. Увеличение выходной частоты в целое число раз возможно добавлением нулей на входе каждого полифазного фильтра.

V

Хс(т) Ра(т) 0 □ 1 1 01Т р (^г) „ М-1 М-1

Р,(т)

Мт) РрМ,

*

Хт-.Ч") ршт

-Хо(т) - Х,(т)

-Хк(га)

Рис.2 - Полифазный банк фильтров

Алгоритм ВПС может быть сведен к следующим шагам:

1) Взвешивание сигнала анализирующим окном и получение ут(г) = Ц-г)х(г + тМ);

2) Сегментирование последовательности ут(г) на блоки длиной К и наложение их друг на друга: хт(г) = ^ ут(г + 1К);

3) БПФ получившейся последовательности для получения выходных отсчетов: хк (т) = РРТ{хп1 (г)};

4) Для следующего блока (ш+1) данные сдвигаются на М, и новый блок длиной М загружается в регистр.

Для критической децимации, ВПС аналогично полифазному банку фильтров. Различие лишь в том, что ВПС ориентирован на поблочный анализ. Рис. 3 иллюстрирует алгоритм для N=4 К. В качестве анализирующего окна Ь(г) берется ФНЧ с полосой пропускания соответствующей одному каналу. Длина этого фильтра должна быть кратной К.

А^^Д! ,— Вход

рост времени 1 «!г.тм>

—м Вюепшваннс Ю1Х фильтрам; ФШав71 .. | 1П1ХпорядкяКЬ

/Л <.........

Взвешенная последовательность

I-N

Сумма подблоков

\ ■

| БПФ

—Фазокорректор

Х»<т)

В ыход Хл-к ана лов

Рис. 3 - Алгоритм взвешенного перекрывающегося сложения (ВПС)

Глава 3 посвящена разработке методов цифровой фильтрации, повышающих качество обработки информации в задачах мониторинга ШЧД, эффективных по критерию минимума вычислительной сложности и аппаратных затрат.

Обосновано использование новых структур ЦФ для сокращения вычислительной сложности алгоритмов фильтрации.

Цифровые фильтры без умножений могут быть синтезированы из элементарных звеньев, подобно изображённому на рис. 4.

К

/-Ч /-ч

Рис. 4 - Структурная схема элементарного звена Где К - количество задержек; - весовой коэффициент (количество сумматоров). Каскадным соединением таких субструктур можно синтезировать фильтры, обладающие различными амплитудно-частотными характеристиками, которые бы удовлетворяли заданным требованиям по таким критериям, как ширина полосы пропускания фильтра, подавление боковых лепестков, прямоугольность и т.д.

Конечным полем называется множество с двумя операциями - сложением и умножением,- которые удовлетворяют соответствующим аксиомам.

Для построения алгоритма цифровой фильтрации в конечных полях (полях Галуа) воспользуемся китайской теоремой об остатках. Она позволяет сохранять при вычислениях все промежуточные результаты в виде системы остатков, выполняя обратный переход к целочисленному виду только при окончательном ответе, что при достаточно большом объеме вычислений может оказаться выгодным. А именно, все вычисления в фильтре будут производиться и сохраняться в системе остатков, и лишь окончательный результат будет восстановлен в привычном виде. В связи с этим структура фильтра будет преобразована к следующему виду:

Рис. 5 - Структура фильтра в конечных полях Входная последовательность х(п) распараллеливается на к потоков. В каждом потоке каждый отсчет берется по соответствующему модулю (т¡), а потом по-

ступает на блок «block i». При этом в каждом из блоков будет реализована классическая структура фильтра, но все вычисления в нем будут производиться по

определенному модулю. Произведение всех модулей соответствует размеру конеч-*

ного поля: М • Далее отсчеты с выходов блоков восстанавливаются, а имен-

¿-0

но каждый из них помножается на соответствующий множитель N¡№1 (где ¿V, является решением уравнения NiMi =1, а М.=М1т-), и все эти произведения суммируются. Так образуется окончательный выходной отсчет у(п) в целочисленном виде.

Преимущества при реализации цифровых фильтров в конечных полях достигаются благодаря замене умножителей и сумматоров эквивалентными схемами, которые при определённых условиях позволяют существенно сэкономить аппаратные ресурсы и реализовать фильтры с улучшенными параметрами. Варианты аппаратной реализации сумматоров и умножителей рассмотрены в работе. В частности, при реализации на ПЛИС вместо аппаратных умножителей и сумматоров, используются структуры, которые могут строиться на основе памяти типа ROM(Read Only Memory). Стоимость же такой памяти на порядок меньше стоимости ПЛИСа, который бы мог потребоваться для реализации эквивалентного по параметрам фильтра. Кроме того, сама структура (см. рис. 2) имеет ряд неоспоримых преиму-1

ществ:

1. Независимость каждого канала по отдельному модулю обеспечивает значительную гибкость при планировке и топологическом проектировании кристалла.

2. Реализация таких устройств на основе ПЛИС, обладающих меньшими вентильными ресурсами, может быть легко перепланирована и размещена в несколько кристаллов.

3. Трассировочные межсоединения распространяются только внутри отдельного вычислительного канала, что исключает наличие длинных трасс, и, как следствие, обеспечивает некоторое уменьшение потребляемой мощности и уменьшение задержек по критическим путям.

Одним из способов минимизации числа ненулевых коэффициентов ПФ фильтра может быть симметрирование его АЧХ.

АЧХ 1 + 5, -1

1-6,

АЧХ 1 +б, ■ 1 ■ 1-5, ■

I— 0,5

АЧХ

1+5, 1

1-5,

АЧХ

1 +5, -1 -1-5,-

Т4-

0,5 ПЗФ

U

0,5

и

U

U л

1. 1

Рис. 6 - Типы фильтров и их характеристики

На рис. 6 /д - частота дискретизации, / - частота, нормированная к половине частоты дискретизации; - левая и правая гра-

Л

ничные частоты полосы пропускания (ПП); - левая и правая граничные

частоты полосы задерживания (ПЗ); и б2 - неравномерности в ПП и ПЗ.

Условия симметричных требований к АЧХ для различных типов избирательности:

• фильтры нижних и фильтры верхних частот (ФНЧ и ФВЧ): 81 = <У2 и

/, = 1-Л;

• режекторные и полосовые фильтры (ПЗФ и ППФ): одинаковые неравномерности в ПП (для ПЗФ) и в ПЗ (для ППФ), и / к =1-/4.

Частным случаем симметрии АЧХ является двойная симметрия. Свойством двойной симметрии могут обладать АЧХ полосовых и режекторных фильтров. Фильтры с двойной симметрией АЧХ должны удовлетворять следующим условиям:

• ППФ или ПЗФ должны быть симметричны;

1 Л

• неравномерности во всех полосах должны быть одинаковыми. Доказано, что фильтры, АЧХ которых обладает свойством симметрии, имеют почти в два раза меньшее число ненулевых коэффициентов ПФ, тогда как при условии двойной симметрии АЧХ, ПФ может содержать до четверти ненулевых коэффициентов. Эти результаты вытекают из леммы Бернштейна.

Произведено исследование эффективности использования симметрирования для различных типов ЦФ. Предложены варианты аппаратной реализации фильтров с симметричными АЧХ на ПЛИС и ПЦОС.

Предложен метод га-октавной цифровой многополосной фильтрации с сокращением объёма вычислений, который позволяет при помощи соответствующего выбора ширины полосы пропускания, центральной частоты и временного окна более чем в три раза сократить число ненулевых коэффициентов импульсной характеристики.

1 / 1

Необходимо выбрать /с = — = и в = — = /е, где В- ширина полосы

пропускания фильтра, /с- центральная частота фильтра, частота дискретизации фильтра.

Процесс т-октавной фильтрации при использовании описанного метода сводится к следующим операциям (рис. 7):

1) Определяется выходной сигнал полосового октавного фильтра, выделяю-

/ /"

щего из анализируемого сигнала полосу ^ ^^ •

2) Из исходной последовательности поточечно вычитается последовательность, полученная в п. 1. "Остаточные данные" соответствуют исходному сигналу с усеченным сверху спектром частот.

3) Из полученных "остаточных данных" выбрасываются все четные отсчеты, благодаря чему спектр частот транспонируется в более низкочастотную область.

4) Полученная последовательность подвергается повторной обработке по п. 1,2,3. Количество повторов определяется количеством октав исследуемого процесса.

хсп

<С) уь

хсп-УЮ

Остаточные

\

Рис.7 - Алгоритм т-октавной фильтрации

Если данный алгоритм применить к каждой из получившихся полос, как к исходным данным, то мы получим ш-октавную фильтрацию.

В главе 4 излагаются вопросы разработки и использования систем и устройств ЦФ. Дано описание методики проектирования устройств ЦФ на основе минимизации вычислительных и аппаратных затрат. Содержатся результаты исследования и построения системы радиомониторинга ШЧД, состоящей из широкополосного приёмника прямого усиления и ЦЭВМ обработки.

В заключении перечисляются основные результаты диссертационной работы и формулируются необходимые выводы.

В Приложении 1 помещены результаты исследования аппаратной реализации банка цифровых фильтров на ПЛИС.

В Приложении 2 помещены акты внедрения результатов диссертационной работы.

Основные результаты работы

1. Проанализирована современная аппаратная база для реализации алгоритмов ЦФ. Сделан вывод о том, что наиболее эффективной аппаратной платформой для реализации ЦФ является ПЛИС.

На основе анализа аппаратной базы для реализации ЦФ выработан новый подход к проектированию ЦФ. Сформулирован новый критерий для минимизации

аппаратных затрат при проектировании устройств ЦФ - в качестве возможного и целесообразного параметра предлагается рассматривать число операций XV на один выходной отсчет в установившемся режиме. Если принять этот показатель, то уменьшение числа ненулевых коэффициентов в ПФ фильтра, при выполнении тех же требований к АЧХ, является, безусловно, полезным результатом, упрощающим схему и уменьшающим \У. Это эквивалентно увеличению частотного диапазона или увеличению, например, числа фильтров на одном кристалле. Помимо изложенного, уменьшение числа умножителей в ряде случаев приводит к снижению уровня внутренних шумов.

2. Изменена схема первичной обработки данных в задачах мониторинга ШЧД. Предложена эффективная структура многоканальной цифровой фильтрации - банк цифровых фильтров, который представляет собой набор одинаковых полосовых фильтров, перекрывающих весь рассматриваемый частотный диапазон. Обоснована целесообразность использования банка цифровых фильтров в задачах мониторинга ШЧД, требующих высокой скорости обработки. Произведено сравнение трёх методов построения банка цифровых фильтров: ДПФ с расширенным весовым окном, КЧП, ВПС.

3. Введено понятие «элементарного звена». Показана возможность синтеза эффективных ЦФ без умножений, состоящих из элементарных звеньев. Предложен метод синтеза цифровых фильтров без умножений на основе совокупности частотных характеристик элементарных звеньев, образующих фильтр. Рассмотрены основные свойства ЦФ без умножений и возможность их применения.

4. Исследована возможность реализации ЦФ в конечных полях. Предложена структура для реализации ЦФ в конечных полях. Показана возможность сокращения аппаратных затрат при реализации ЦФ в конечных полях на ПЛИС. Рассмотрены структуры для представления операции умножения и сложения в конечных полях. Установлено, что реализация ЦФ в конечных полях на ПЛИС позволяет в несколько раз сократить число логических элементов ПЛИС, а также практически полностью отказаться от использования аппаратных умножителей ПЛИС. Доказано, что реализация ЦФ в конечных полях позволяет на несколько порядков уменьшить погрешности округления и квантования ЦФ.

5. Рассмотрено влияние симметрирования АЧХ ЦФ на импульсную характеристику цифровых фильтров. На основе оценки этого влияния предложен метод сведения АЧХ цифровых фильтров к симметричному виду путём ужесточения требований к цифровому фильтру. Сделан вывод о применимости метода симметрирования АЧХ ЦФ. Показано, что симметрирование АЧХ позволяет на 75% уменьшить количество ненулевых коэффициентов импульсной характеристики ЦФ. Установлено, что реализация фильтров с симметрией на ПЛИС позволяет сократить аппаратные затраты, в частности существенно уменьшить число используемых аппаратных умножителей, а следовательно повысить эффективность метода.

6. Разработан и предложен эффективный метод многополосной цифровой фильтрации - т-октавная фильтрация с сокращением объёма вычислений. Доказано, что реализация на ПЛИС метода т-октавной фильтрации с сокращением объёма вычислений позволяет на две трети сократить количество используемых аппаратных умножителей ПЛИС, а также повысить скорость обработки.

7. Разработана структура и схема широкополосного приёмника прямого усиления (преобразования) на основе разработанных методов и алгоритмов цифровой

фильтрации. Подробно рассмотрен блок цифровой фильтрации в приёмнике. Проанализированы основные параметры приёмника прямого усиления и вклад предлагаемых методов цифровой фильтрации в достижение обозначенных параметров.

Опубликованные работы по теме диссертации в изданиях, рекомендованных ВАК

1. Каплун, Д.И. Нерекурсивные цифровые фильтры без умножений [Текст] / Д.И. Каплун // Информация и космос. - СПб.: ЗАО «Институт Телекоммуникаций», 2009 - № 1 .-С.43-51.

2. Каплун, Д.И. Применение метода симметрирования АЧХ при синтезе нерекурсивных цифровых фильтров [Текст] / Д.И. Каплун, Т.В. Меркучева // Научно-технические ведомости СПбГПУ. Информатика. Телекоммуникации. Управление.-СПбГПУ, 2009. - №2. - С. 104-110.

3. Каплун, Д.И. Новый метод синтеза фильтров на ПЛИС [Текст] / Д. И. Каплун, Т.В. Меркучева // Вопросы радиоэлектроники.- М.: ОАО «ЦНИИ «Электроника», 2009.-сер. ОТ, вып. 2. -С.81-90.

Другие работы:

4. Решение о выдаче патента на полезную модель «Широкополосное многоканальное радиоприёмное устройство» по заявке №2009124405/22(033834) от 23.06.2009 Федеральной службы по интеллектуальной собственности, патентам и товарным знакам / C.B. Богачёв, A.B. Громов, Д.И. Каплун и др. - 2009.

5. Каплун, Д.И. Цифровые фильтры в конечных полях [Текст] / Д.И. Каплун //Известия СПбГЭТУ «ЛЭТИ». - Известия СПбГЭТУ «ЛЭТИ». - СПб.: Издательство СПбГЭТУ «ЛЭТИ», 2008, №6. - С. 33-42.

6. Каплун, Д.И. Синтез нерекурсивных цифровых фильтров без умножений [Текст]/ Д.И. Каплун, А.Ю. Супян // Известия СПбГЭТУ «ЛЭТИ». - СПб.: Издательство СПбГЭТУ «ЛЭТИ», 2008, №10. - С. 24-32.

I. Каплун, Д.И. Цифровые фильтры без умножений [Текст] / Д.И.Каплун // Компоненты и технологии. - СПб.: Издательство «Файнстрит», 2007, №2. - С.132-135.

8. Каплун, Д.И. Банк цифровых фильтров [Текст] / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Компоненты и технологии. - СПб.: Издательство «Файнстрит», 2007, №10. - С. 156-161.

9. Каплун, Д.И. Методы построения банка цифровых фильтров: конвейерное частотное преобразование и взвешенное перекрывающееся сложение [Текст] / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Современная электроника. - М.: Издательство «СТА-ПРЕСС», 2008,- №3. - С. 48-51.

10. Каплун, Д.И. Цифровые фильтры в полях Галуа [Текст] / М. Гуленко, Д.И.Каплун // Компоненты и технологии. - СПб.: Издательство «Файнстрит», 2008. -№3. - С.168-172.

II. Каплун Д.И. Цифровые фильтры без умножений [Текст] / Д. И. Каплун // Завалишинские чтения'08 (сборник трудов конференции).- СПб.: ГУАП, 2008. - С. 86-92.

12. Каплун, Д.И. Реализация цифровых фильтров в конечных полях [Текст] / Д. И. Каплун // 63-я научно-техническая конференция, посвященная Дню радио (сборник трудов конференции).- СПб.: Издательство СПбГЭТУ «ЛЭТИ», 2008. -С. 59-60.

13. Каплун, Д.И. Метод октавной фильтрации с сокращением объёма вычислений на ПЛИС [Текст] / Д.И.Каплун // Компоненты и технологии. - СПб.: Издательство «Файнстрит», 2008, №9. - С.131-134.

14. Каплун, Д.И. Реализация цифровых фильтров в полях Галуа [Текст] / Д. И. Каплун II Управление и информационные технологии (УИТ-2008) (сборник трудов конференции).- СПб.: Издательство СПбГЭТУ «ЛЭТИ», 2008. - С. 27-33.

15. Kaplun, D.I. Octave Band Digital Filtering on PLD (Октавная цифровая фильтрация на ПЛИС) [Текст] / D. I. Kaplun // Preprints of 12lh International Student Olympiad on Automatic Control (Baltic Olympiad). - Saint-Petersburg: SPb State Polytechnical University, SPb State University of Information Technologies, Mechanics and Optics, 2008.-PP. 127-132.

16. Каплун, Д.И. Новый метод синтеза цифровых фильтров на ПЛИС [Текст] / Д. И. Каплун // Научно-технические проблемы в промышленности - СПб: ФГУП «НИИ «Вектор», 2008. - С.30.

17. Каплун, Д.И. Новый метод синтеза цифровых фильтров на ПЛИС [Текст] / Д. И. Каплун II Научно-технические проблемы в промышленности (сборник трудов конференции).- СПб: ФГУП «НИИ «Вектор», 2008. - С.30.

18. Каплун, Д.И. Эффективность симметрирования амплитудно-частотных характеристик нерекурсивных цифровых фильтров/ Д. И. Каплун, А.А. Ланнэ, Т.В. Меркучева [Текст] // 61-ая Научно-техническая конференция профессорско-преподавательского состава, научных сотрудников и аспирантов: материалы-СПбГУТ им. проф. М.А. Бонч-Бруевича, 2009. - С.60-61.

19. Каплун, Д.И. Реализация нерекурсивных цифровых фильтров с симметричными амплитудно-частотными характеристиками [Текст] / Д. И. Каплун, Т.В. Меркучева // 61-ая Научно-техническая конференция профессорско-преподавательского состава, научных сотрудников и аспирантов: материалы. -СПбГУТ им. проф. М.А. Бонч-Бруевича, 2009. - С.61-63.

20. Каплун, Д.И. Новый метод синтеза линейных цифровых нерекурсивных цепей и алгоритмов с линейными фазо-частотными характеристиками/ Д. И. Каплун, А.А. Ланнэ, Т.В. Меркучева [Текст] // 10-ая Международная конференция «Цифровая обработка сигналов и её применение» (сборник трудов конференция-Москва, 2009. - Т. 1. - С. 166-169.

21. Каплун, Д.И. Частотно-временной анализ банком цифровых фильтров [Текст] / Л. Г. Азаренков, А.В. Будилов, И.И. Канатов, Д.И. Каплун // Компоненты и технологии. - СПб.: Издательство «Файнстрит», 2009, №3. - С.122-126.

22. Каплун, Д.И. Синтез нового класса нерекурсивных цифровых фильтров без умножений [Текст] / Д. И. Каплун, Д.В. Миненков // Компоненты и технологии.- СПб.: Издательство «Файнстрит», 2009, №6. - С.115-120.

23. Каплун, Д.И. Новый метод синтеза цифровых фильтров с конечными импульсными характеристиками [Текст] / Д. И. Каплун, А.А. Ланнэ, Т.В. Меркучева // Вестник Академии военных наук. - Москва, 2009,- №3(28).-С.80-83.

Подписано в печать 13.10.09. Формат 60*84 1/16. Бумага офсетная. Печать офсетная. Печ. л. 1,0. Тираж 100 экз. Заказ 84.

Отпечатано с готового оригинал-макета в типографии Издательства СПбГЭТУ "ЛЭТИ"

Издательство СПбГЭТУ "ЛЭТИ" 197376, С.-Петербург, ул. Проф. Попова, 5

Оглавление автор диссертации — кандидата технических наук Каплун, Дмитрий Ильич

ВВЕДЕНИЕ.

1. Цифровая фильтрация в задачах мониторинга широкого частотного диапазона.

1.1 Понятие и определение широкого частотного диапазона.

1.2 Особенности и характерные черты задач мониторинга ШЧД.

1.3 История развития и современное состояние теории цифровой фильтрации.

1.4 Реализация цифровых фильтров. Программно-аппаратная база.

1.4.1 Современный подход к синтезу фильтров.

1.4.2 Реализация фильтров на процессорах ЦОС.

1.4.3 Реализация фильтров на ПЛИС.

1.5 Выводы по главе.

2. Разработка алгоритмов многоканальной цифровой фильтрации в широком частотном диапазоне.

2.1 Постановка задачи и общие замечания.

2.2 Банк цифровых фильтров.

2.3 Методы построения банков цифровых фильтров.

2.3.1 ДПФ с расширенным весовым окном.

2.3.2 Конвейерное Частотное Преобразование.

2.3.3 Взвешенное перекрывающееся сложение.

2.4 Выводы по главе.

3. Синтез цифровых фильтров, эффективных по критерию минимума вычислительной сложности и аппаратных затрат.

3.1 Общие замечания.

3.2 Этапы разработки цифровых фильтров.

3.3 Структуры цифровых фильтров для многоканальной фильтрации в ШЧД.

3.3.1 Цифровые фильтры без умножений.

3.3.1 Цифровые фильтры в конечных полях.

3.3.3 Фильтры с симметрией АЧХ.

3.4 Сравнение рассмотренных методов цифровой фильтрации.

3.5 М-Октавная фильтрация.

3.6 Выводы по главе.

4. Методы цифровой фильтрации при разработке систем и устройств, предназначенных для задач мониторинга широкого частотного диапазона.

4.1 Постановка задачи.

4.2 Широкополосные приёмники прямого преобразования.

4.3 Комплекс окончательной обработки данных, поступающих из приёмника прямого преобразования.

4.4 Выводы по главе.

Введение 2009 год, диссертация по информатике, вычислительной технике и управлению, Каплун, Дмитрий Ильич

В последние годы перед различными военными ведомствами и гражданскими службами все острее встает проблема реализации систем мониторинга широкого частотного диапазона. Многими государственными и коммерческими организациями разных стран был разработан целый ряд аппаратно-программных комплексов (АПК) для решения задач мониторинга широкого частотного диапазона, нашедших применение в системах радиосвязи, технике и промышленности, при исследовании геофизических полей земли и океана. Тем не менее, в отдельных областях эта проблема полностью не решена. До недавнего времени развивались в основном ведомственные системы, основанные на использовании специализированных управляющих процессорных блоков и медленных, по сегодняшним меркам, устройств и алгоритмов цифровой обработки сигналов (ЦОС). Обширное использование АПК широкого частотного диапазона, в их современном виде, началось с появлением и развитием микропроцессорной техники. Сегодняшний день предъявляет новые повышенные требования к функциональности существующих систем, их постоянной модернизации.

В связи с постоянно повышающимися требованиями по качеству функционирования, стремительно растёт и сложность различных систем мониторинга широкого частотного диапазона. Это приводит к необходимости полной автоматизации таких систем, внедрения новых средств и методов, позволяющих уменьшить время реакции, аппаратные затраты, повысить скорость, надёжность и стабильность обработки данных.

Особенно остро проблемы мониторинга широкого частотного диапазона встают в военной сфере, где одной из самых приоритетных национальных задач является жёсткий контроль радиоэфира, от качества осуществления которого напрямую зависит национальная безопасность. Большинство современных вооружений, так или иначе, используют при своём функционировании радиосигналы различной частоты и длительности, что даёт возможность осуществлять боевые действия бесконтактно, с 4 минимальными потерями. Таким образом, для эффективного ведения боевых действий необходимо обеспечить возможно более полный контроль над радиоэфиром. Это подтверждается и тем фактом, что в последнее время перед началом всех крупных военных операций в зонах будущих боевых действий, по статистике, резко возрастал радиообмен, а все «антитеррористические операции» стран НАТО начинались с обнаружения и полного подавления РЛС противника, после которого активное сопротивление было бессмысленно. Как показывает опыт этих военных конфликтов, установление контроля над радиоэфиром давало одной из противоборствующих сторон подавляющее преимущество. Помимо всего, в военной сфере накладывается ряд дополнительных, довольно жёстких, ограничений на массогабаритные, качественные и надежностные параметры систем мониторинга широкого частотного диапазона, а также на возможность их применения в тех или иных условиях. Так, например, в отдельных случаях запрещено активное сканирование пространства, но при этом все равно необходимо в пассивном режиме оперативно получать информацию об окружающих радиообъектах. Одним из самых главных требований к функционированию комплексов мониторинга широкого частотного диапазона в военной сфере является обеспечение работы таких комплексов в реальном масштабе времени, что приводит к необходимости совершенствования алгоритмов цифровой обработки сигналов, и в первую очередь цифровой фильтрации, в направлении уменьшения времени обработки, минимизации вычислительных и аппаратных затрат.

Наблюдающееся в настоящее время бурное развитие телекоммуникационного сектора экономики, наряду с другими тенденциями, характеризуется быстро расширяющимся применением цифровых технологий в действующих и перспективных системах связи, радиовещания, телевидения и т.д. Это связано, прежде всего, с известными преимуществами применения цифровых сигналов: высокой потенциальной помехоустойчивостью, возможностями оптимизации использования частотного спектра, перспективами применения в различных телекоммуникационных и информационных системах универсальных аппаратных и программных решений.

Одним из ключевых факторов развития в этом направлении выступает технологический прогресс. Наиболее общую форму оценки прогресса в области микроэлектроники дает «закон Мура» [1, 2]: производительность интегральных схем, измеряемая операциями/сек, и объем памяти в единице площади удваиваются каждые 18 месяцев, а стоимость микросхем при этом уменьшается на 50 %.

Современные технологии в микросхемотехнике, в частности, нанотехнологии, стали возможны благодаря развитию средств мониторинга, которое всё время шло в направлении увеличения частотного диапазона и улучшения частотного разрешения. Наноразмеры потребовали разработки новых методов контроля и измерений. Электромагнитные волны уже не отвечали всем требованиям, пришлось перейти на частоты светового диапазона, в результате чего стали активно развиваться методы интерферометрии. Но и этот диапазон частот к настоящему времени пройден. Сейчас нанотехнологичные отрасли науки и промышленности используют такие методы мониторинга, как ядерный магнитный резонанс, электронный парамагнитный резонанс, лазерное сканирование, которые основаны на физических эффектах, использующих свойства частотной избирательности материи.

Успехи последних десятилетий в медицине следует отнести, прежде всего, к новым методам диагностики — УЗИ, радиоволновое сканирование, томография, тепловизор. Все это - наблюдение реакции организма на облучение в различных частотных диапазонах. Лучше говорить даже не о диапазонах, а о нескольких частотах, выбранных далеко не всегда из соображений наибольшей контрастности реакций организма, а часто из технических возможностей аппаратуры, поэтому дальнейшее совершенствование такой аппаратуры позволит вывести диагностику болезней на совершенно иной уровень. Соответственно, главное направление совершенствования медицинской аппаратуры мониторинга лежит в области улучшения частотного разрешения и расширения диапазона частот приборов.

Всё это стало доступным благодаря достижениям ЦОС, призванной решать задачи приема, формирования, обработки и передачи информации в реальном масштабе времени [3]. Осуществление сложных алгоритмов ЦОС требует, соответственно, применения эффективных базовых алгоритмов ЦОС, в первую очередь, цифровой фильтрации, на которую зачастую расходуется половина имеющихся аппаратных средств.

Основная научная проблематика в области цифровой фильтрации заключена в разработке путей преодоления ограничений обусловленных имеющимися ресурсами: возможностями элементной базы, допустимой величиной программно-аппаратных затрат. Методы проектирования цифровых фильтров, объединяющие синтез в спектральной области по заданным величинам рабочих параметров с приемами, учитывающими эти ограничения, позволяют получить решения, близкие к оптимальным в смысле минимизации результирующих затрат и времени анализа.

Задача создания эффективных методов цифровой фильтрации в системах мониторинга широкого частотного диапазона, базирующаяся на последних достижениях теории цифровой обработки сигналов, является весьма актуальной, тем более что накопленный опыт разработки и использования процессоров цифровой обработки сигналов (ПЦОС), программируемых логических интегральных схем (ПЛИС), стимулируют создание новых более совершенных и производительных типов этих микросхем, в архитектуре которых должны быть заложены возможности воплощения эффективных алгоритмов ЦОС и, в частности, цифровой фильтрации [4].

Таким образом, в настоящее время существует актуальная научно-техническая проблема разработки методов цифровой фильтрации для задач мониторинга широкого частотного диапазона (ШЧД), обеспечивающих необходимое качество и эффективность обработки. Под эффективностью в данном случае понимается уменьшение времени анализа, минимизация вычислительных и аппаратно-программных затрат.

Состояние вопроса в рассматриваемой области характеризуется следующими основными достижениями. Вопросы передачи и обработки дискретных сигналов, включая построение эффективных алгоритмов цифровой фильтрации, рассматривались в работах Э. Айфичера, Р. Блейхута, Б. Голда, Б. Джервиса, А. Константинидеса, Г. Лэма, Дж. Макклелана, А. Оппенгейма, Т. Паркса, Л. Рабинера, Р. Хемминга [4-13]. Заметный вклад в развитие цифровой фильтрации внесли отечественные ученые В.В. Витязев, Л.М. Гольденберг, В.П. Дворкович, А.А. Ланнэ, Б.Д. Матюшкин, А.Б. Сергиенко, А.И. Солонина [14-19].

Публикация работ, посвященных глубокому исследованию отдельных способов увеличения скорости обработки, сокращения сложности алгоритмов цифровой фильтрации [9, 11], свидетельствует о насущной необходимости обобщающего подхода в этом направлении.

Обзор результатов новых исследований в данной области показывает, что они могут быть сгруппированы по следующим основным направлениям:

- исследование и синтез новых структурных схем цифровых фильтров (ЦФ), обеспечивающих низкую чувствительность характеристик к изменениям коэффициентов ЦФ или полную замену коэффициентов эквивалентными преобразованиями;

- разработка новых типов ЦФ, обеспечивающих существенное сокращение времени фильтрации, для реализации которых требуется выполнение уменьшенного объема арифметических операций;

- развитие новых методов аппроксимации, постановка и решение новых аппроксимационных задач.

Работы первого направления восходят к 1971 году, когда А. Феттвейс опубликовал первую работу, излагающую концепцию волновых фильтров [12]. Важность этого направления обуславливается тем, что структуры с низкой чувствительностью требуют всего нескольких бит в кодовом слове коэффициента и, следовательно, они обеспечивают возможность эффективной реализации ЦФ. Кроме того, в рамках этого подхода был предложен метод синтеза рекурсивных ЦФ в виде параллельного соединения всепропускающих цепей, который оказался очень продуктивным при решении задачи конверсии частоты дискретизации [20]. Обобщающие результаты по синтезу низкочувствительных ЦФ содержатся в работах П. Вадьянатхана [20], в которых волновые, лестничные и ортогональные ЦФ получаются как частные случаи общего подхода. Эффективная реализация ЦФ, требующая уменьшенной величины объема выполняемых арифметических операций, возможна не только за счет уменьшения чувствительности.

После появления в 1983 году работы Дж. Макклелана и Ч. Рейдера [9], а в 1985 Р. Блейхута [6] внимание было привлечено к применению для целей уменьшения числа арифметических операций в фильтре специальной организации массивов данных в виде конечных алгебраических структур (групп, колец, полей), что создаёт предпосылки для применения структурных теорем алгебры и теории чисел. Это позволяло строить практически приемлемые алгоритмы, обеспечивающие работу в реальном масштабе времени.

Развитие методов аппроксимации связано, прежде всего, с постановкой и необходимостью решения новых задач: расчетом фильтров с максимально-прямоугольной амплитудно-частотной характеристикой в полосе пропускания и равнопульсирующей в полосе задерживания [21];

- расчетом фильтров при учете одновременных требований как к амплитудно-частотной, так и к фазочастотной характеристикам [22].

Вопросы многоканальной цифровой фильтрации с изменением частоты дискретизации тесно примыкают к задаче собственно конверсии частоты дискретизации. Их сходство и различие неоднократно рассматривались многими авторами, начиная с 1974 года. Наиболее полно рассмотрены два типа структур: многоступенчатая и полифазная. Для них решены апроксимационные задачи с разными типами фильтров, включая нерекурсивные ЦФ с комплексными коэффициентами [17, 23, 24].

Значительно меньше исследованы задачи многоканальной фильтрации без преобразования частоты дискретизации.

Работ по многополосной фильтрации с сохранением относительной ширины полосы к настоящему времени практически не представлено, за исключением алгоритма m-октавной фильтрации BIFORE [25]. Следует отметить, что это направление представляется очень перспективным, в силу использования октавной фильтрации в алгоритмах вейвлет-анализа.

Цель исследования - повышение эффективности алгоритмов и устройств ЦФ в задачах мониторинга широкого частотного диапазона путем разработки методов их построения, оптимизирующих использование программных и аппаратных средств.

Для достижения цели исследования необходимо решить следующие задачи:

1. Анализ свойств и структур различных типов цифровых фильтров для обработки информации в задачах мониторинга ШЧД, а также анализ характеристик алгоритмов ЦФ.

2. Анализ соответствия характеристик ЦФ характеристикам обрабатываемых сигналов.

3. Разработка методов и путей совершенствования алгоритмов и устройств ЦФ в задачах мониторинга ШЧД, определение условий целесообразности их использования.

4. Определение перспективных направлений технической реализации и внедрения разработанных методик, алгоритмов и устройств при создании оборудования мониторинга ШЧД.

Методы исследований

Перечисленные задачи решены методами теории линейной аппроксимации, теории гармонического и спектрального анализа, теории z — преобразования, теории групп, теории конечных полей. Для подтверждения полученных теоретических результатов использовались методы численного анализа и моделирования. Научная новизна

1. Предложен новый подход к использованию банков цифровых фильтров в задачах мониторинга ШЧД, заключающийся в процедуре последовательной детализации выбранных частотных диапазонов. Усовершенствованы с учётом особенностей современной аппаратной базы методы построения банка цифровых фильтров: дискретное преобразование Фурье (ДПФ) с расширенным весовым окном, конвейерное частотное преобразование (КЧП), взвешенное перекрывающееся сложение (ВПС) — и определены условия целесообразности их применения.

2. Разработан метод синтеза структуры цифровых фильтров без умножений на основе частотных характеристик его компонентов.

3. Предложены структура и способ представления данных для реализации цифровых фильтров — цифровые фильтры в конечных полях, позволяющие распараллелить вычисления, практически полностью отказаться от операции умножения в фильтре, существенно сократить аппаратные затраты.

4. Обобщён метод симметрирования амплитудно-частотной характеристики (АЧХ), позволяющий более чем в три раза сократить объём вычислений, для основных типов избирательности фильтров. Произведено исследование эффективности применимости метода. Выполнены численные оценки эффективности. Приведены специализированные структуры для аппаратной реализации фильтров.

5. Разработан метод m-октавной фильтрации, позволяющий значительно сократить объём вычислений.

Практическая ценность

1. Разработанные методики синтеза ЦФ и предложенные на этой основе структурные схемы обеспечивают создание эффективных блоков цифровой фильтрации для оборудования мониторинга ШЧД при повышении качества обработки информации, сокращении времени анализа, минимизации программно-аппаратных затрат на их реализацию.

2. Адаптация разработанных методов цифровой фильтрации к современной аппаратной базе ЦОС - процессорам ЦОС, ПЛИСам.

3. На основе результатов диссертационных исследований внедрены новые технические решения, подтверждённые актами о внедрении. Реализация результатов работы

Результаты диссертационной работы использовались:

- в работах по созданию радиоприёмных устройств (ОКР «Жасмин-СПВ» ФГУП «НИИ «Вектор» г. Санкт-Петербург);

- в работах по созданию аппаратуры пеленгования («Жасмин-2М» ФГУП «НИИ «Вектор» г. Санкт-Петербург);

- в НИР, выполнявшихся по заказам ФГУП «НИИ «Вектор», ОАО «Концерн «РТИ-Системы» г. Санкт-Петербург.

Работа поддержана персональными грантами ректора СПбГЭТУ для студентов и аспирантов за 2007, 2008 гг., грантом правительства Санкт-Петербурга за 2008 г. для аспирантов, персональным грантом фонда содействия развитию малых форм предприятий в научно-технической сфере по программе УМНИК на выполнение НИОКР по теме «Разработка цифровых фильтров в полях Галуа» (№ У-2008-6/3 «Фильтр», 2008).

Внедрение результатов диссертационной работы и достигнутый при этом эффект подтверждены соответствующими актами.

Диссертационная работа состоит из введения, четырех глав, заключения, списка литературы и приложений.

Заключение диссертация на тему "Разработка методов цифровой фильтрации в задачах мониторинга широкого частотного диапазона"

4.4 Выводы по главе

1. Предложен способ построения широкополосного приёмника прямого преобразования.

2. Основу блока цифровой фильтрации в приёмнике составляют фильтр без умножений и фильтр с симметричной АЧХ, что позволило существенно сократить аппаратные затраты и повысить скорость работы приёмника.

3. Разработана схема и алгоритмы комплекса обработки данных от приёмника прямого преобразования, определены его характеристики. Результаты испытаний подтвердили высокую степень надежности и экономичности разработанных устройств.

Заключение

Рассмотрены задачи, связанные с мониторингом широкого частотного диапазона в различных областях науки и техники. Сформулированы основные требования к устройствам ЦФ и проблемы при разработке методов ЦФ в задачах мониторинга широкого частотного диапазона.

Исследованы основные свойства структур и характеристик цифровых фильтров. Обоснована необходимость совершенствования эффективности устройств ЦФ, создания методики проектирования алгоритмов и устройств ЦФ, ориентированной на синтез с использованием современной элементной базы - ПЛИС, сигнальных процессоров. Показано, что основные характеристики алгоритмов и устройств ЦФ в значительной мере определяются числом выполняемых арифметических операций.

Изменена схема первичной обработки данных в задачах мониторинга ШЧД. Обоснована целесообразность использования банка цифровых фильтров в задачах мониторинга ШЧД, требующих высокой скорости обработки. Произведено сравнение трёх методов построения банка цифровых фильтров: ДПФ с расширенным весовым окном, КЧП, ВПС.

Предложен метод синтеза цифровых фильтров без умножений на основе полученных ПФ и совокупности частотных характеристик элементарных звеньев, образующих фильтр. Предложена новая элементарная структура второго порядка, значительно расширившая область использования и упростившая синтез фильтров.

Исследована возможность реализации ЦФ в конечных полях. Предложена структура для представления ЦФ в конечных полях. Показана возможность сокращения аппаратных затрат при реализации ЦФ в конечных полях на ПЛИС. Рассмотрены структуры для представления операции умножения и сложения в конечных полях. Установлено, что реализация ЦФ в конечных полях на ПЛИС позволяет в несколько раз сократить число логических элементов ПЛИС, а также практически полностью отказаться от использования аппаратных умножителей ПЛИС. Доказано, что реализация

ЦФ в конечных полях позволяет на несколько порядков уменьшить погрешности округления и квантования ЦФ.

Рассмотрено влияние симметрирования АЧХ ЦФ на импульсную характеристику цифровых фильтров. На основе оценки этого влияния предложен метод сведения АЧХ цифровых фильтров к симметричному виду путём ужесточения требований к цифровому фильтру. Расширена область применимости метода симметрирования АЧХ, засчёт разбиения исходного фильтра на две симметричные структуры. Показано, что симметрирование АЧХ позволяет на 75% уменьшить количество ненулевых коэффициентов импульсной характеристики ЦФ. Установлено, что реализация фильтров с симметрией на ПЛИС даёт возможность сократить аппаратные затраты, в частности существенно уменьшить число используемых аппаратных умножителей, а следовательно повысить эффективность метода. Предложен метод наиболее эффективной реализации симметричных фильтров на ПЦОС. Такая реализация ПЦОС позволяет до трёх раз уменьшить количество тактов на один отсчёт входного сигнала, что даёт возможность повысить частоту обрабатываемых данных.

Предложен эффективный метод многополосной цифровой фильтрации - m-октавная фильтрация. Рассмотрен метод октавной фильтрации с сокращением объёма вычислений и его реализация на ПЛИС. На его основе предложен алгоритм m-октавной фильтрации. Доказано, что реализация на ПЛИС метода октавной фильтрации с сокращением объёма вычислений позволяет на две трети сократить количество используемых аппаратных умножителей ПЛИС, а также повысить скорость обработки.

Разработана структура и схема широкополосного приёмника прямого преобразования, включающая в алгоритмы цифровой фильтрации разработанные методы сокращения вычислений. Проанализированы основные параметры приёмника прямого усиления и вклад предлагаемых методов цифровой фильтрации в достижение заданных параметров.

Вся техническая документация на приёмник прямого усиления и программное обеспечение к нему переданы для серийного производства на НПО «Интеграл».

На реализацию диссертационной работы был предоставлен грант Правительства Санкт-Петербурга для аспирантов за 2008 год.

Промежуточные результаты диссертационной работы стали основанием для выполнения НИОКР по соглашению с фондом содействия развитию малых форм предприятий в научно-технической сфере в рамках программы «Участник молодежного научно-инновационного конкурса» («У.М.Н.И.К.»).

Новые технические решения защищены патентом на полезную модель.

Результаты диссертационной работы успешно внедрены при выполнении исследований и разработок в различных отраслях, в том числе по заказам Минобороны России.

Внедрение результатов диссертационной работы и достигнутый при этом эффект подтверждены соответствующими актами.

Библиография Каплун, Дмитрий Ильич, диссертация по теме Элементы и устройства вычислительной техники и систем управления

1. Концепция развития отрасли «Связь и информатизация» Российской Федерации. / Под ред. Л.Д. Реймана и Л.Е. Варакина М. MAC, 2001 г. 340 с.

2. Кох Р., Яновский Г.Г. Эволюция и конвергенция в электросвязи. М: Радио и связь 2001 г. 280 с.

3. Зубарев Ю.Б., Витязев В.В., Дворкович В.П. Цифровая обработка сигналов информатика реального времени. Цифровая обработка сигналов. №1, 1999 г., с.5-17.

4. Введение в цифровую фильтрацию. / Под ред. Р. Богнера и А. Константинидиса. Мир 1976 г. 216 с.

5. Айфичер Э., Джервис Б. Цифровая обработка сигналов: практический подход, 2-е издание. : Пер. с англ.- М.: Издательский дом «Вильяме», 2004. 992 с. : ил.

6. Блейхут Р. Быстрые алгоритмы цифровой обработки сигналов: Пер. с англ. М.: Мир, 1989. - 448 е., ил.

7. Голд Б., Рэйдер Ч. Цифровая обработка сигналов(с приложением работы Д. Кайзера «Цифровые фильтры»): Пер. с англ. / Под ред. A.M. Трахтмана. М.: Сов. радио, 1973. - 368 с.

8. Лэм Г., Аналоговые и цифровые фильтры: Расчет и реализация, М.: Мир, 1982.

9. Макклелан Дж., Рейдер Ч. Применение теории чисел в цифровой обработке сигналов. М.: Радио и связь. 1983 г. 264 с.

10. Оппенгейм А.В., Шафер Р.В. Цифровая обработка сигналов: Пер. с англ. / Под ред. С .Я. Шаца. М.:Связь, 1979. - 416 е., ил.

11. Рабинер Л., Голд Б. Теория и применение цифровой обработки сигналов.- М.: Мир 1978 г. 848 с.

12. Феттвайс А. Волновые цифровые фильтры: Теория и применение. ТИИЭР т.74 №2 февраль 1986 г. С.35-99.

13. Хемминг Р. Цифровые фильтры. М. Сов. Радио. 1980 г.

14. Ланнэ А.А., Матюшкин Б.Д., Улахович Д.А. Основы цифровойобработки сигналов: Учеб. пособие.-Спб.: ГУТ. 1998.к

15. Гольденберг Л.М., Матюшкин Б.Д., Поляк М.Н. Цифровая обработка сигналов: Справочник. -М.: Радио и связь 1985 г. 312 с.

16. Зубарев Ю.Б., Дворкович В.П. Основные проблемы цифровой обработки изображений и использования цифрового телевидения в России // Электросвязь. 1997 г. № 8. С/6-10.

17. Витязев В.В. Цифровая частотная селекция сигналов — М. Радио и связь. 1993 г. 323с.

18. Куприянов М.С., Матюшкин Б.Д. Цифровая обработка сигналов: процессоры, алгоритмы, средства проектирования. СПб: Политехника. 1999 г. 592с.

19. А.И. Солонина, Д.А. Улахович, С.М. Арбузов, Е.Б. Соловьева, И.И. Гук. Основы цифровой обработки сигналов: Курс лекций— СПб.: БХВ-Петербург, 2003-608 с.

20. Вайдьянатхан П.П. Цифровые фильтры, блоки фильтров и полифазные цепи с многочастотной дискретизацией: Методический обзор -ТИИЭР т.78 №3 1990 г. с.77-120.

21. Vaidyanathan P.P. Efficient and multiplierless design of FIR filters with vary sharp cutoff via maximally flat building blocks. IEEE Trans, vol. CAS-32, №3,March 1985, p.23 6-244.

22. Saramaki Т., Renfors M. «Nth-band filter design» in Proc EUSIPCO'98 (Rhodos, Greece), p. 1943-1948, September 1998.

23. Fliege N. Multirate digital signal processing: multirate systems, filter banks, wavelets. John Wilcey & sons. 1994. 340 p.

24. Vetterli M. A Theoiy of multirate filter banks. IEEE Trans, vol. ASSP-35№3 p.336-372 March 1987.

25. Ахмед H., Pao K.P. Ортогональные преобразования при обработке цифровых сигналов: Пер. с англ/ Под. ред. И.Б. Фоменко-М.: Связь, 1980248 е., ил.

26. ГОСТ 24375-80 Радиосвязь. Термины и определения.

27. Бендат Дж., Пирсол А. Применения корреляционного и спектрального анализа. -М.Мир. 1983 г.

28. Садовский В. Н. Проблемы философского обоснования системных исследований // Системные исследования: Методологические проблемы. — М.: Наука, 1984.

29. Степанов А.В., Матвеев С.А. Методы компьютерной обработки сигналов систем радиосвязи. М.: Изд-во "СОЛОН-Пресс", 2003. - 208 с.

30. Зубарев Ю.Б., Зелевич Е.П., Петров М.С. Перспективы развития цифрового вещания в России. Электросвязь, №3, 2002 г.

31. Зверев В.А., Стромков А.А. Выделение сигнала из помех численными методами. Нижний Новгород: НПФ РАН, 2001. 188 с.

32. Ипатов В. Широкополосные системы и кодовое разделение сигналов. Принципы и приложения. Москва: Техносфера, 2007. 488 с.

33. Кестер У. Применение высокоскоростных систем. Москва: Техносфера, 2009. 368 с.

34. Кестер У. Проектирование смешанных и цифровых сигналов. Москва: Техносфера, 2009. 400 с.

35. Хэррис Ф. Использование окон при гармоническом анализе методом ДПФ. ТИИЭР т.66 №1 1978 г. с.60-96.

36. Jonh Lillington, СТО, RF Engines Limited, www.rfel.com, 2002 г.

37. Крошьер Р.Е., Рабинер Л.Р. Интерполяция и децимация цифровых сигналов. 1981 ТИИЭР. Т.69., №3, март, стр. 77-120.

38. Солонина А.И., Улахович Д.А., Яковлев Л.А. Алгоритмы и процессоры ЦОС. СПб.: БКВ - Петербург. 2001 г. 464 с.

39. Стешенко В.Б. ПЛИС фирмы ALTERA: проектирование устройств обработки сигналов. -М.: ДОДЕКА, 2000. 128 с.

40. Р. Грушвицкий, А. Мурсаев, Е. Угрюмов. Проектирование систем на микросхемах программируемой логики. — СПб.: БХВ-Петербург, 2002.— 608 е.: ил.

41. В.П. Еремеев, А.Г. Матвеев, А.В. Ануфриева. Новый класс нерекурсивных цифровых фильтров без умножений./ 3-я Международная конференция «Цифровая обработка сигналов и её применения». Москва, 2000 г.

42. Н.И. Червяков, И.В. Дьяченко. Принципы построения модулярных сумматоров и умножителей. Сборник научных трудов. Зеленоград: 2006.

43. Ланнэ А.А., Меркучева Т.В. «Синтез нерекурсивных фильтров и корректоров с симметричными амплитудно-частотными характеристиками» // Информация и космос. 2007. - №4. - С. 10-16., 2008. - №1. - С. 45-55.

44. Шаповалова И. А. «Анализ эффективности нерекурсивных цифровых фильтров с симметричными и симметрируемыми характеристиками» дипломная работа СПбГУТ, 2008.

45. Бернштейн С. Н. «Экстремальные свойства полиномов», ОНТИ НКПГ, 1937.

46. Ланнэ А. А. «Синтез нерекурсивных цифровых фильтров с симметричными характеристиками» // Радиоэлектроника. 1995. - Т.38 - № 3 -4.-С. 38-60.

47. Nelson G., Pfeifer L., Wood R. High-Speed Octave Band Digital Filtering. IEEE Transactions on audio and electroacoustics. Vol. AU-20, no.l. 1972.

48. Проектирование радиоприёмных устройств. Под. ред. А.П. Сиверса. Учебное пособие для вузов. М., «Сов. радио», 1976.

49. Головин О.В. Радиоприёмные устройства. Учеб. для техникумов — М.: Высш. Шк.,1987 440 е.: ил.

50. Каплун Д.И. Цифровые фильтры без умножений/ Д.И.Каплун // Компоненты и технологии. 2007. - №2. - С. 132-135.

51. Каплун Д.И. Банк цифровых фильтров / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Компоненты и технологии. — 2007 — №10. С. 156161.

52. Каплун Д.И. Цифровые фильтры в конечных полях / Д.И. Каплун //Известия СПбГЭТУ «ЛЭТИ». 2008. - №6. - С. 33-42.

53. Каплун Д.И. Синтез нерекурсивных цифровых фильтров без умножений/ Д.И. Каплун, А.Ю. Супян// Известия СПбГЭТУ «ЛЭТИ». 2008. -№10.

54. Каплун Д.И. Методы построения банка цифровых фильтров: конвейерное частотное преобразование и взвешенное перекрывающееся сложение / Л. Г. Азаренков, И. И. Канатов, Д. И. Каплун // Современная электроника. 2008.- №3. - С. 48-51.

55. Каплун Д.И. Цифровые фильтры в полях Галуа / М. Гуленко, Д.И.Каплун // Компоненты и технологии. 2008. - №3. - С. 168-172.

56. Каплун Д.И. Цифровые фильтры без умножений / Д. И. Каплун // Завалишинские чтения'08 (сборник трудов конференции).- 2008. С. 86-92.

57. Каплун Д.И. Реализация цифровых фильтров в конечных полях/ Д. И. Каплун // 63-я научно-техническая конференция, посвящённая Дню радио (сборник трудов конференции).- 2008. С. 59-60.

58. Каплун Д.И. Метод октавной фильтрации с сокращением объёма вычислений на ПЛИС / Д.И.Каплун // Компоненты и технологии. 2008. -№9.-С. 131-134.

59. Каплун Д.И. Реализация цифровых фильтров в полях Галуа / Д. И. Каплун // Управление и информационные технологии (УИТ-2008) (сборник трудов конференции).- 2008. С. 27-33.

60. Каплун Д.И. Octave Band Digital Filtering on PLD / Д. И. Каплун // 12-я международная Балтийская олимпиада по автоматическому управлению (сборник трудов конференции).- 2008. С. 127-132.

61. Каплун Д.И. Новый метод синтеза цифровых фильтров на ПЛИС / Д. И. Каплун // Научно-технические проблемы в промышленности (сборник тезисов конференции).- 2008. С.ЗО.

62. Каплун Д.И. Новый метод синтеза цифровых фильтров на ПЛИС / Д. И. Каплун // Научно-технические проблемы в промышленности (сборник трудов конференции).— 2008. С.ЗО.

63. Каплун Д.И. Методы цифровой фильтрации в задачах мониторинга ШЧД/ Д. И. Каплун //Сборник аннотаций XIII Санкт-Петербургской Ассамблеи молодых ученых и специалистов 2008.

64. Каплун Д.И. Нерекурсивные цифровые фильтры без умножений / Д.И. Каплун // Информация и космос. 2009 - №1 -С.43-51.

65. Каплун Д.И. Применение метода симметрирования АЧХ при синтезе нерекурсивных цифровых фильтров/ Д.И. Каплун, Т.В. Меркучева // Научно-технические ведомости СПбГПУ. Информатика. Телекоммуникации. Управление.- 2009. №2. - С. 104-110.

66. Каплун Д.И. Новый метод синтеза фильтров на ПЛИС/ Д. И. Каплун, Т.В. Меркучева// Вопросы радиоэлектроники 2009.-сер. ОТ, вып. 2. - С.81-90.

67. Каплун Д.И. Частотно-временной анализ банком цифровых фильтров/ Л. Г. Азаренков, А.В. Будилов, И.И. Канатов, Д.И. Каплун// Компоненты и технологии. 2009. - №3. - С. 122-126.

68. Каплун Д.И. Синтез нового класса нерекурсивных цифровых фильтров без умножений/ Д. И. Каплун, Д.В. Миненков// Компоненты и технологии.-2009.-№6. С. 115-120.

69. Каплун Д.И. Новый метод синтеза цифровых фильтров с конечными импульсными характеристиками/ Д. И. Каплун, А.А. Ланнэ, Т.В. Меркучева// Вестник Академии военных наук. 2009 - №3(28).-С.80-83.