автореферат диссертации по информатике, вычислительной технике и управлению, 05.13.18, диссертация на тему:Разработка математических методов моделирования модулярного нейропроцессора цифровой обработки сигналов

кандидата физико-математических наук
Лавриненко, Ирина Николаевна
город
Ставрополь
год
2005
специальность ВАК РФ
05.13.18
цена
450 рублей
Диссертация по информатике, вычислительной технике и управлению на тему «Разработка математических методов моделирования модулярного нейропроцессора цифровой обработки сигналов»

Автореферат диссертации по теме "Разработка математических методов моделирования модулярного нейропроцессора цифровой обработки сигналов"

На правах рукописи

Лавриненко Ирина Николаевна

РАЗРАБОТКА МАТЕМАТИЧЕСКИХ МЕТОДОВ МОДЕЛИРОВАНИЯ МОДУЛЯРНОГО НЕЙРОПРОЦЕССОРА ЦИФРОВОЙ ОБРАБОТКИ СИГНАЛОВ

05.13.18 - Математическое моделирование, численные методы и комплексы программ

АВТОРЕФЕРАТ

диссертации на соискание ученой степени кандидата физико-математических наук

Ставрополь - 2005

Работа выполнена в Ставропольском государственном университете

Научный руководитель: доктор физико-математических наук,

старший научный сотрудник Каплан Лев Григорьевич

Официальные оппоненты: доктор фгаико-математических наук, профессор

Симоновский Александр Яковлевич ^ кандидат физико-математических наук, доцент

Васильженко Людмила Борисовна

Ведущая организация: Поволжская государственная академия

телекоммуникаций и информатики, г. Самара

-. .-с си

Защита состоится « 22 » декабря 2005 г. в 16 часов 40 минут на заседании регионального диссертационного совета ДМ 212.256.05 при Ставропольском государственном университете по адресу: 355009, г. Ставрополь, ул. Пушкина, 1 (ауд. 214).

С диссертацией можно ознакомиться в научной библиотеке Ставропольского государственного университета по адресу: 355009, г. Ставрополь, ул. Пушкина, 1.

Автореферат разослан « ноября 2005 г.

Ученый секретарь /

регионального диссертационного совета п// /

кандидат физико-математических наук, доцент ¿МММЪгУ-—ТГб. Копыткова

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность темы. Решение широкого круга задач современных фундаментальных и прикладных исследований в таких областях как ядерная физика, оптика, геофизика, нейрофизика, физика атмосферы, сейсмографии, связи, медицинской электроники и многих других требует формирования и быстрой обработки в реальном масштабе времени и высокой степени достоверности огромных массивов цифровой информации.

Вместе с тем, обширные области задач в рамках быстродействия, обеспечиваемого современными компьютерными системами, практически не могут быть реализованы. Отмеченное обстоятельство стимулирует поиски нетрадиционных подходов к организации цифровой обработки сигналов (ЦОС), которые обеспечивают оптимальное отображение базовых алгоритмических структур на перспективные СБИС и ПЛИС архитектуры. Это вызвало к жизни новые направления, основой которых явилась теория чисел.

Общей фундаментальной стратегией теоретических исследований и конкретных разработок, осуществляемых в настоящее время как у нас, так и за рубежом, является применение подходов, базирующихся на интенсивном использовании различных форм параллелизма на алгоритмическом, программном и аппаратном уровнях.

Ключевую роль в современных системах ЦОС играет процедура быстрого преобразования Фурье (БПФ), фильтрации и свертки. Поскольку эти алгоритмы характеризуются внутренним параллелизмом, то перспективной и многообещающей является их реализация с помощью нетрадиционных методов кодирования информации и соответствующей компьютерной алгебры и, в первую очередь, с помощью модулярных систем счисления (МСС), как систем, обладающих максимальным уровнем внутреннего параллелизма. Подтверждением этому тезису являются публикации зарубежных авторов, появившиеся за последние пять лег. Применение модулярной арифметики позволяет синтезировать алгоритмические и аппаратные структуры процессоров ЦОС, которые по сравнению с традиционными обеспечивают более высокое быстродействие, надежность, отказоустойчивость и т.п.

Успешное решение подобных задач обеспечивается наличием современной вычислительной базы, представленной в виде искусственных нейронных сетей, которые тоже обладают массовым параллелизмом.

В свете сказанного исключительно актуальными являются исследования, ориентированные на применение модулярной арифметики и нейронных сетей для ЦОС, при этом первостепенное значение имеют математические модели, которые включают в себя совокупность множества модульных и немодульных операций и алгоритмы вычислений.

Объектом диссертационных исследований являются модулярные вычислительные структуры ЦОС, а предметом - математические методы моделирования и алгоритмы вычисления множества модульных и немодульных операций, которые являются основой эффективной модулярной ЦОС.

Научная задача исследований состоит в разработке математических методов моделирования модулярного нейропроцессора, адаптированного к специфике

цифровой обработки сигналов.

Для решения поставленной общей научной задачи была проведена ее декомпозиция на ряд частных задач:

1. Анализ процедур ЦОС с точки зрения использования модулярных принципов параллельных вычислений и отображение преобразований ЦОС на вычислительную нейросетевую базу.

2.0боснование и выбор универсальной позиционной характеристики, а также разработка методов и алгоритмов для эффективного ее вычисления.

3.Разработка математических методов моделирования для исследования процессов при вычислениях множества базисных немодульных операций модулярной арифметики на основе использования универсальной позиционной характеристики.

4.Разработка численных методов и алгоритмов округления, масштабирования и деления чисел, представленных в модулярной арифметике, а также разработка алгоритмов сопряжения позиционных и непозиционных вычислительных структур.

5.Синтез обобщенной вычислительной модели модулярного нейропроцессора ЦОС и его компьютерное моделирование.

Цель диссертационной работы состоит в повышении скорости и надежности вычислений специализированными нейропроцессорами цифровой обработки сигналов.

Методы исследований. Для решения поставленных в работе научных задач были использованы методы теории чисел, алгебры, комбинаторики, теории вероятностей, математического моделирования, иейронных сетей, нейроматематики, исследования операций и теории надежности.

Достоверность и обоснованность полученных в диссертационной работе теоретических результатов и формируемых на их основе выводов обеспечивается строгостью производимых математических выкладок, базирующихся на аппарате теории чисел и численных методах. Справедливость выводов относительно эффективности предложенных моделей, методов и алгоритмов подтверждена математическим компьютерным моделированием.

На защиту выносятся следующие основные положения:

Д.Методы и алгоритмы эффективного вычисления выбранной универсальной позиционной характеристики.

2.Новые математические методы моделирования базисных немодульных операций модулярной арифметики на основе универсальной позиционной характеристики.

3.Методы и алгоритмы расширения, округления, масштабирования и деления чисел, представленных в модулярной системе счисления.

4.Матрица связности позиционных и непозиционных числовых систем, используемая для согласования кодовых числовых последовательностей.

5.Обобщенная вычислительная модель модулярного нейропроцессора ЦОС с обнаружением и коррекцией ошибок и ее компьютерное моделирование.

Научная новизна полученных в диссертации результатов состоит в следующем:

1. Обосновании полного согласования моделей модулярной обработки на основе нейросетевой вычислительной базы с моделями цифровой обработки сигналов, ориентированных* На вычислительную архитектуру с векторными вы-

чнслениями и с сокращенным числом операций (сложения и умножения), что ведет к повышению эффективности обработки сигналов.

2. Разработке численного метода параллельного вычисления универсальной позиционной характеристики с высокой скоростью и малыми затратами вычислительной базы.

3. Разработке новых математических методов моделирования вычислительных устройств, используемых для выполнения множества базисных немодульных процедур, вычисляемых на основе применения универсальной позиционной характеристики и оценке качества их моделей.

4. Разработке метода основного деления модулярных чисел для случая произвольных делимого и делителя, который может быть использован не только в алгоритмах масштабирования, но и в других вычислительных алгоритмах цифровой обработки сигналов. Доказательстве теоремы о выборе значения делителя, который используется в методе спуска Ферма при конструировании итерационного деления модулярных чисел.

5. Разработке матрицы связности позиционных и непозиционных числовых систем, обеспечивающей быстрое прямое и обратное преобразование чисел из СОК в ОПСС и из ОПСС в СОК.

6. Синтезе обобщенной вычислительной модели модулярного нейропро-цессора цифровой обработки сигналов на основе использования разработанных моделей модульных и немодульных операций.

7. Разработке алгоритма совместного применения нейронных сетей конечного кольца и предложенной модифицированной сети Хэмминга для обнаружения и коррекции ошибок в модулярных вычислениях.

8. Компьютерном моделировании разработанных моделей прямого и обратного преобразования кодовых конструкций СОК-ПСС, ПСС-СОК для определения характеристики и выбора на их основе оптимальной структуры нейронной сети конечного кольца.

9. Моделировании процессов, происходящих в нейронных сетях Хопфилда и модифицированной сети Хэмминга, используемых для коррекции ошибок в избыточных модулярных кодовых конструкциях с целью определения корректирующих свойств этих сетей и выбора эффективной нейронной сети для применения ее в быстродействующем и надежном модулярном нейрокомпьютере.

Практическая значимость. Разработанные методы моделирования и алгоритмы параллельно-конвейерной модулярной обработки на основе нейросетевой вычислительной базы позволяют строить высокопроизводительные и отказоустойчивые нейропроцессоры ЦОС нового класса, которые способны выполнять в реальном масштабе времени колоссальные объемы математических расчетов над огромными массивами данных. Предложенные вычислительные модулярные структуры ЦОС, благодаря их естественному параллелизму, однородности, регулярности и прочим особенностям, относятся к разряду структур, наилучшим образом согласующихся с принципами организации и ограничением технологий изготовления СБИС, включая наиболее перспективный их класс - программируемые логические интегральные схемы типа ХШпх.

Реализация результатов. Теоретические и практические результаты диссертационной работы использованы при выполнении НИР «Новый класс нейронных цифровых фильтров с параллельной обработкой данных», номер Государственной регистрации №01.01.00105057 по гранту Министерства образования РФ ТОО-З.З-292, реализованы в Воронежском ЦНИИС при разработке блока ЦОС в рамках НИР ХД401-98 и ООО «Моби», а также в учебном процессе СВИС РВ и СГУ.

Апробация работы. Основные результаты диссертации докладывались на Международной научной конференции «50 лет модулярной арифметике» (Москва, сентябрь, 2005 г.), VII Всероссийской конференции «Нейрокомпьютеры и их применение» (Москва, 14-16 февраля 2001 г.), XIII НГК «Внедрение новых информационных технологий в процессе управления войсками и оружием, подготовке офицерских кадров в ВУЗах» (Ставрополь, 2000 г.), XLIV научно-методической конференции «Университетская наука - региону» (Ставрополь, СГУ, 1999 г.), Первой международной научно-технической конференции «Инфо-коммуникационые технологии в науке, производстве и образовании»(Ставрополь, СГТУ, 2004 г.), научно-технических конференциях СВИС РВ (1993-2005 гг.).

Публикации. Основные результаты диссертации достаточно полно изложены в 15 научных статьях, одном изобретении A.C. «Преобразователь двоичного кода в код системы остаточных классов», БИ 5, 1993 г. и двух заявках на изобретения:

1 .Нейронная сеть для обнаружения, локализации и исправления ошибок в СОК.

2.Нейронная сеть для деления чисел, представленных в СОК.

Структура и объем диссертации. Работа состоит из введения, четырех разделов, заключения, четырех приложений, списка сокращений и обозначений, а также списка литературы, содержащего 128 наименований. Основная часть работы содержит 192 страницы машинописного текста.

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ

В первом разделе на основе анализа текущего состояния перспективного развития высокоскоростной ЦОС раскрываются принципы специализации вычислительных средств, под которой понимается способ распараллеливания вычислений на одновременно работающем множестве процессоров, что позволяет в рамках существующих ограничений на массогабаритные и энергетические характеристики добиться больших функциональных возможностей. Обращение к концепции построения специализированных вычислительных устройств предопределено, с одной стороны, постоянным ростом числа разработок новых алгоритмов и новых структур вычислительных средств ЦОС, а с другой стороны, стимулировано развитием исследований в области создания вычислительной нейросетевой базы ЦОС.

Анализ известных подходов, используемых при разработке высокоскоростных и надежных вычислительных средств, показывает, что все они имеют одну общую вычислительную особенность, суть которой состоит в широком применении тех или иных форм параллелизма. Архитектура цифровых процессоров обработки сигналов определяется несколькими базовыми операциями, которые используются в алгоритмах ЦОС.

В области цифровой фильтрации различают КИХ и БИХ фильтры (с конечной и бесконечной импульсными характеристиками, соответственно). Оба класса фильтров относятся к классу линейных систем с постоянными параметрами (ЛСПП), в которых входная х„ и выходная уп последовательности связаны соотношением типа свертки. Если обозначить через Ьк отклик системы на единичный импульс, то получим свертку вида

>.=£*,•■*.-*,* = 0,1...„я (1)

*=о

где Хц.к - входной отчет, задержанный на к-интервалов.

Для построения систем цифровой фильтрации требуется эффективная реализация соотношения типа дискретной свертки, которая в свою очередь раскладывается на операции умножения и сложения с накоплением, а также операцию задержки. Следует отметить, что вычисления свертки прямым способом требует колоссальных вычислительных затрат. Существующие методики, основаны на дискретном преобразовании Фурье СДГ1Ф).

Для упрощения вычислений ДПФ используют быстрое преобразование Фурье (БПФ), реализация которого приводит к существенному уменьшению вычислительной сложности ДПФ.

Итак, наиболее эффективными алгоритмами, используемыми в ЦОС, является сверчка, вычисляемая либо обыкновенно, либо с помощью БПФ. Поэтому достижение сверхвысокого быстродействия ЦОС может быть эффективно обеспечено лини, путем их структурной специализации, направленной на использование есге-с-немного параллелизма, например, использованием модулярной арифметики. Кроме того, структура и операционный состав ЦОС целиком согласуется с модулярными принципами высокоскоростных вычислений. Применение модулярной арифмешки в вычислительных алгоритмах обусловливается наличием изоморфизма между операциями над целыми числами (большого формата) и соответствующими операциями н?д остатками от деления целых чисел на модули (малого формата). Из свойств сравнений вытекает идентичность операций сложения, вычитания, умножения, возведения в степень для целых чисел и для системы целых неотрицательных остатков по отдельным модулям, т.е.

>к(2)

.Д.

Данные операции обладают свойством независимости образования разрядов результата и поэтому называются модульными. Основные достоинства СОК -максимальный параллелизм, малоразрядность остатков, что дает возможность построения табличной арифметики, возможность реализации принципа конвейерной обработка, что ведет к значительному повышению: скорости вычислений, обеспечению безопасности вычислений, надежности и т.д.

Кроме того, повысить эффективность обработки информации, представленной в параллельном виде, можно за счет использования новой информационной технологии - технологии нейронных сегей. Наибольшие успехи применения нейронных сетей достигнуты при решении задач ЦОС, распознавании образов, изображений и др. Возможность массового параллелизма нейросетевых вычислений определило це-

7

где Л = (а1,а2,..&„); /МД,/?,,...Д); ° <={+,-*}; а,--А-

. л J

лесообразность создания специализированных модулярных нейропроцессоров, обеспечивающих эффективное выполнение ЦОС. Для моделирования и построения нейронных сетей более предпочтительными оказываются специализированные процессоры ЦОС, так как алгоритмы ЦОС имеют сходство с алгоритмами работы нейронных сетей, поскольку в обоих случаях базовой операцией является умножение с накоплением.

Известно, что процессоры ЦОС в СОК примерно на 25 % быстрее, чем традиционный фильтр и расходует меньше энергии при той же частоте. Параллельный характер алгоритма Винограда является идеальным для внедрения его в систему мультинейропроцессора, реализованного на основе СОК, при этом 90 % вычислений, включенных в свертку, может бьпъ защищена от ошибок корректирующими свойствами модулярного кода.

Для оценки качества принимаемых решений в работе используется критерий вычислительной сложности, который определяется тремя параметрами

A:(T(n),R(n),M(n)), (3),

где Т(п) - временная сложность, оцениваемая в циклах синхронизации или тактах; R(n) - аппаратная сложность в количестве разрядов; М(п) - память локальная или распределенная - в ячейках памяти или весовых коэффициентах нейронных сетей.

В конце первого раздела проведена постановка задачи исследования, которая разбита на ряд частных задач, решение которых проведено в следующих разделах.

Второй раздел посвящен разработке математических моделей для исследования базисных немодульных операций модулярной арифметики на основе использования универсальной позиционной характеристики.

Модель целочисленной модулярной арифметики можно задать следующей сигнатурой

{И, И* , МО, НО, Я(А), УГ1Х(А)\, (4)

где |Я| - полная система вычетов по модулю полного диапазона; — вычеты

числа по модулю p¡; МО{НО) - множество модульных (немодульных) операций; R(A) - ранг числа, позиционная характеристика отношения порядка; УПХ(А) -универсальная позиционная характеристика.

Множество операций содержит:

"+", "-"-аддитивные операции сложения и вычитания по модулям системы;

""-мультипликативная операция умножения по модулям системы;

" /" - операция деления или умножения на обратный элемент;

"-Í-" - операция деления нацело.

Модулярное представление целого числа А это «-кортеж (а„а2.....а,), где а,

это целые числа, определяемые системой »-уравнений

Л = q,p, + a,, i - 1,2,...,п, (5)

где q, - это целое число, выбранное таким образом, чтобы 0 s а, <р„ т.е. q,- это

значение целого частного —, которое обозначается как — :

Pi l Pt.

а. - это наимень-

ший неотрицательный остаток от деления А нар, и определяемое как остаток Л по модулю р, или |/f|*, число формализуется как A mod р,.

Остаточное представление числа А единственное, если его величина не превышает полного диапазона.

Восстановление числа А по остаткам базируется на китайской теореме из теории чисел, которая называется китайской теоремой об остатках (КТО). На основе известного представления числа в СОК («,,«,,.. ,а„) КТО делает возможным определение числа в позиционной системе счисления (ПСС) , если наибольший общий делитель любой пары модулей равен 1. КТО имеет вид

И,-

Л Y.P, а, > (6)

м Р, и р

где Р, =—, /> = П/>(,для {р„р)) = \ для Р,

Используя разные формы КТО, можно записать

-PR(A),

(7)

где Д(Л) =

I.P.

- называется рангом числа.

Недостаток КТО при вычислениях состоит в том, что вычисления осуществи

ляются по большому модулю Р = 1~[ р, • Для выполнения операций по модулю р„ а

м

не по модулю Р используется обобщенная позиционная система счисления (ОПСС), которая имеет вид

^ = а»Пя<+--+М1Л2+а2й1+а„ (8)

/•I

где Я, - основания системы; а, - цифры ОПСС и 0 < а, < Я,.

Представление в ОПСС обозначается как [аь аг, •••> а«]- Если набор модулей Р\,Рг,--;Рп подобрать так, что р, = /?„ то ОПСС и остаточную систему можно считать связанной и однозначно определенной.

Кроме множества модульных операций, определяемых выражением (2), которые являются быстрыми операциями, в модулярной арифметике имеются и множество медленных операций таких как: нахождение вычета числа, знака чисел, переполнение, сравнение, расширение, масштабирование и другие. Время выполнения этих операций можно сократить, если решать их методами, подходящими для ПСС.

В работе выбрана универсальная позиционная характеристика, которая вычисляется итеративным методом Гарнера.

Для повышения скорости вычислений нами предложен и разработан ускоренный метод вычисления универсальной позиционной характеристики, который базируется на основе декомпозиции числа А на ортогональные числа вида

4= (О Д..., О, а,, <),...,()) = а, В,, где 5/ - ортогональные базисы.

При этом число А представляется как сумма его ортогональных чисел вида А = (А, + Аг + . + /f„)mod/\ Для нахождения в ОПСС числа А необходимо найти представление в ОПСС каждого ортогонального числа А,. Представление в ОПСС ортогональных базисов В, имеет вид в, =[0,0,.. ,6,,,...,&„], где ¡,j = 1,п, тогда

A, ={0,0,...,0,albIJ,albIUtn,...,alblii) = alBl, где «, = 1,2,...,/>,-1 для /J = й. Ортогональность базисов В, и числа А,, кроме В„ и числа А„ нарушена по и- (Ж) основаниям. Перед первым значащим разрядом в представлении А, будет (/-1) нулей, т.к.

B, mod р, - 0 V j > i. При этом А можно представить как

А = (а,(Ь11,612.....Ь1я) + а2(0,Ь12, ,Ь2п) + ... + а„(0Д . ,Ьт). (9)

Для удобства вычислений базисы можно представить в виде матрицы

b„ btl ... 6ц,

0 ... ь,

о о :::

где ¿),у - коэффициенты ОПСС являются элементами матрицы. Тогда из (9)

л

«I = £cAmod/>/> (Ю)

/*i У-1

где а, - коэффициенты ОПСС; а, - вычеты числа А по mod р,\ Ьц - ортогональные базисы, представленные в ОПСС.

При использовании традиционной вычислительной базы произведения арц mod р, можно поместить в память, а адресами будут являться остатки а,. Если вычислительная база представлена в нейросетевом базисе, тогда в качестве весовых коэффициентов нейронной сети будут выступать by, а в качестве входных сигналов -остатки аг

Последовательность вычислений универсальной позиционной характеристики для первого варианта имеет вид

Адреса Выборка Модули СОК

ПЗУ из ПЗУ р| рг ... ря

а, -►

лаж — ^ -* с», кч,.....|«А„|;.] (П)

-► [о, о,

+ а| аг ... а» Вычисленная таким образом универсальная позиционная характеристика позволяет существенно ускорить выполнение немодульных операций. Эффективность реализации ЦОС в решающей мере зависит от скорости вычислений немодульных операций, прежде всего контроля аддитивного и мультипликативного переполнения, масштабирования, прямого и обратного преобразования кодов модулярной и позиционной систем счисления. Поэтому создание быстродействующих моделей, реализующих немодульные операции, является ключевой проблемой.

Рассмотрим применение вычислительной модели (11) для определения коэффициентов ОПСС, переполнения, определения знака, сравнения чисел и других немодульных процедур.

Если выбрать р, > р2 >...>/>„, а р„ = 2, тогда старший коэффициент ОПСС а„ может принимать два значения 0 или 1. Знак числа будет совпадать со значением

а„, так как весь диапазон делится на два интервала: первый

второй

--, Я -1]. Число, которое попадает в первый интервал, будем считать положи-

2 л

тельным, а во второй интервал - отрицательным, тогда при а„=0 - число положительное, а при а„=1 - отрицательное.

Для сравнения двух чисел АЛ и Ау по знаку их разности определяют больше или меньше число. Переполнение динамического диапазона также определяется по коэффициенту а,,. Бели а„=1, то произошло переполнение.

Предложенный параллельный метод является универсальным, так как он позволяет определить равенство двух чисел, знак числа, абсолютное значение чисел, переполнение и другие.

Временная сложность выполнения немодульных операций, реализованных на основе известного метода Гарнера и предложенного в работе, показана в таблице.

Таблица - Временная сложность немодульных операций

п/п

"II '2 Г

Тип немодульной операции

Определение коэффициентов ОПСС

Определение знака числа__

Определение переполнения _

4 I Сравнение бсунака

Методы (время в циклах синхронизации)

метод Гарнера

2и-1

2и+ 1

2л+3

предложенный метод

Из таблицы видно, что предложенный метод обладает лучшими временными характеристиками.

Универсальная позиционная характеристика может быть использована и для расширения системы оснований. В работе предложен параллельный метод расширения оснований СОК. Задачу расширения системы оснований можно сформулировать аг-.:цуюшим образом: найти остаточное представление числа по вновь введениям oci !•"•?.'.! ¡иям, если дано представление числа по известным основаниям.

я

Пусть СОК состоит из основанийрг>. ..,/>„, тогда Р = |"J/?,. Добавим новое

м

//<1

основание, тогда Puti = f\р, ■ При этом любое число А из диапазона [О,Р1И]] в ы

ОПСС будег представлено как

н и I

Л = а„4,Г]л +а»П Л + - + а,р,р2 +а2р, +а,. (12)

1=1 I"!

Если число А будет лежать в первоначальном диапазоне [0,Р], то в ОПСС цифра а,,, 1 = 0. Если а„+, * 0, тогда значение числа А выходит за пределы динами-

ческого диапазона. Факт я„+, = 0 используется для получения остатка (вычета) а1Ы от деления числа А на новое основание

Пусть число А имеет представление (а,,а2,...,а„)по основаниям р\, рь-.-, р„. Добавим новое основаниер„+\, тогда число А-(а,,а2, ..,а„,|л|* ) в системе оснований р\,Рг,---,РтРть где - остаток от деления числам нар,,^, т.е. искомая цифра по новому основанию. Для определения этой цифры используем метод перевода числа из СОК в ОПСС, включая неизвестную цифру в проводимые

вычисления. При этом параллельно получаем цифры ОПСС аь а2,..., а„ и выражение для цифры а^-ь Но так как по условию число Ле[0,Р), то цифра а„и = 0. Из полученного соотношения и определяем искомую цифру .

Одним из новых результатов этого раздела также является и разработанная матрица связности для отображения позиционных и непозиционных числовых систем, которая базируется на тождестве

м, +«2*2 +-+«а|, =1а + ал+■••+алл>-яд„ (13)

где а, - коэффициенты СОК, / = 1,2,..., п; р, - коэффициенты ОПСС, /=1,2,...,

п— 1; В, - ортогональные базисы СОК, /=1,2.....п; Р = р,- р2-...- р„ - полный

диапазон, / = 1,2,..., п.

'а., а„ ... а..

Согласно (13) существует такая матрица Аг

, что

(14)

(«,.«2.....а„)Ч=ГД>А.....А,]>

где Лг - заданный оператор, определяемый константами СОК. Из (14) следует, что существует матрица А~1 такая, что

1Р„Рг.....РА(15)

Для получения матрицы Аг нужно в пространстве векторов СОК выбрать базис, представленный основаниями рь рг,. ,.,р„. В качестве такового будет служить система и-линейно независимых векторов (аи,а,2,...,«,„), (а21,а22,...,а2„), ..., (ап1,ап2,...,ат). Затем, найдя образы базисных векторов (буквально это означает нахождение представлений действительных чисел, соответствующих базисным

векторам СОК, представленным в ОПСС) 1Р„,Р,2,-,Р„], \Ри>Рп.....Рт.А-> •••>

1Ря,,Р.г.....рм], вычислить произведение

г«„ «>2 " -i га. а.2 ••

ii «2, ' «2, - а. Ргг • ■ Ргп (16)

а. " л а.2 " а. ^

Матрицу Аг будем называть матрицей перехода от СОК к ОПСС, а матрицу А~' - матрицей перехода от ОПСС к СОК. На основе матрицы связности обеспечивается эффективность функционирования модулярного нейрокомпьютера, так как матрица играет роль быстрого согласования числовых систем.

В третьем разделе рассмотрены задачи Округления, масштабирования и деления. Деление в модулярной арифметике относится к немодульным операциям и является одной из важнейших операций в модулярной компьютерной арифметике, так как она лежит не только в основе масштабирования, но и в основе многих других операций и входит в состав операций вычислительных алгоритмов.

Операцию деления в СОК можно отнести к одной из трех форм: деление с нулевым остатком, округление и масштабирование, основное деление. Деление с нулевым остатком основано на теореме 1.

Теорема 1. Если а делится на Ь без остатка и наибольший общий делитель (НОД) а и Ь равен 1, то

(17)

а 1 1

— : —а

ъ Р/ Ь

для всех р/, где

- мультипликативная обратная к Ь величина, взятая по модулю.

При масштабировании целых положительных чисел, которые относятся ко второй форме деления, делимое является произвольным, а делитель может быть любой сомножитель Р, представляющий собой произведение первых степеней некоторых модулей. Деление в любой целочисленной системе счисления определяется формулой

Н<€> (18)

- целая часть отношения а к Ь (частное); -

где а - делимое; Ь - делитель;

наименьший целый неотрицательный остаток.

Целью алгоритма масштабирования является нахождение

«-и:

ограниченной области. Заметим, что представляется величинами

-и; Н<€

ь А ь Рг ь

для значений Ь из , следовательно, в системе вычетов

(19)

где

принимает целое значение.

Если Ь совпадает с одним из р, или является произведением первых степеней некоторых модулей р„ то можно найти из выражения

-и;

\а-

(20)

Это уравнение задает цифры системы вычетов для —

— для всех таких цифр,

что НОД величин р>иЬ равен 1.

Остальные цифры могут быть найдены путем расширения базы, который был рассмотрен во втором разделе.

Таким образом, алгоритм масштабирования состоит из двух этапов: деление с нулевым остатком и расширение базы.

В работе впервые разработан модулярный метод основного деления, когда и делимое и делитель представляют собой произвольные числа, который основан на методе спуска Ферма. Для его реализации конструируется некоторое правило <р, которое каждой паре целых положительных чисел а и Ь ставит в соответствие некоторое целое положительное число ц такое, что а-Ьд = г> 0. Тогда деление а на Ь осуществляется по следующему правилу: согласно операции ф паре чисел а и Ь ставится в соответствие число ди такое, что а~Ьд, = г, > 0. Если г, <Ь, то деление закончено, если же г, г Ь, то согласно <р, паре чисел (г\, Ь) ставится в соответствие <72, такое, что rt~bq2=r2>0. Если г2<Ь, то деление завершается, если же гг>Ь ставится в соответствие <73, такое, что гг -bqг=ri><i и так далее. Так как последовательное применение операции <р приводит к строго убывающей последовательности целых положительных чисел а £ /-, > г, > г, >... > о, то процесс является конечным и алгоритм реализуется за конечное число шагов. В общем случае Ь может быть и не равным модулю или их произведению. Здесь встаёт проблема выбора Ь таким, чтобы оно было равным либо модулю, либо их произведению. Если эта проблема будет решена, тогда итерации могут быть сведены к процесс)' масштабирования, которые рассмотрены выше Для решения этой проблемы нами доказана теорема о границах изменения Ъ.

Теорема 2. Если на ¿-шаге зафиксирован случай 0 < -Ьц, = г.к < Ь, то; да ча-

к £

сгное д от деления целых чисел а и Ь будет равно ]Г<7, + г[. Если гк < -, то г'„ - 0, а

графическое изображение которого приведено на рисунке 1.

Проведенные расчеты на ЭВМ показали, что при целых Л, когда Ь<Ь<2Ь. частное при малом числе итераций приближается к точному значению. Таким образом, выберем в качестве делителя величину ЫЬ<2Ь. Теперь возникает еще одна проблема, каким образом, полученный делитель Ь свести к величине одного

если г, > ^, то г'к = 1. Доказательство проведено для случая Ь = ЛЬ, где Л >1, тогда конечная формула теоремы имеет вид

модуля или их произведению?

Приблизительный делитель Ъ можно найти путем использования наиболее значимой ненулевой цифры, представленного Ъ в ОПСС, которую затем заменим ближайшим простым числом или произведением простых чисел. Тогда делитель Ь можно представить в виде простого числа или их произведением, что позволит далее использовать для вычисления частного алгоритмом масштабирования. При-Рисунок 1 - Зависимость значения величины частного от близительный делитель оп-значения величины делителя и числа итераций ределяется выражением

6 = (22) м

где 0 выбирается из специально разработанной таблицы.

Далее по алгоритму масштабирования находим значения результатов всех итераций д, в модулярном коде, которые приводят к делению с нулевым остатком.

Разработанный модифицированный

«S

'зиг 1 Ш<» Г

ЗИ1

ш

СЖЗ

f »C f

4ГЖ:

Рисунок 2 - Обобщенная вычислительная модель модулярного нейропроцессора цифровой обработки сигналов

модулярный метод общего деления на основе метода спуска Ферма, масштабирования и деления с нулевым остатком позволяет за несколько итераций провести деление, при котором ошибка в частном уменьшается до нуля.

В четвертом разделе разработана обобщенная вычислительная модель для анализа и синтеза модулярного нейропроцессора (рисунок 2).

Пред ложенная модель содержит: мультинейропроцессор, содержащий /т+2 элементарных нейропроцессоров по модулямPt(i= 1,2,..и+2); преобразователь (кодер) ПСС-СОК; преобразователь (декодер) СОК-ПСС; блок немодульных операций (БНО), состоящий из блока позиционных характеристик (БПХ), блока расширения системы оснований (PCO), блока масштабирования, округления и деления (МОД) и модифицированной нейронной сети Хэмминга.

Вычислительные модели всех блоков разработаны во 2-3 разделах.

Общая вычислительная модель модулярного нейропроцессора ЦОС соответствует векторной архитектуре SIMD (одиночный поток команд, множественный поток данных) с RISK структурой (сокращенная система операций).

Общий входной сигнал А(п) преобразуется кодером в двоичный СОК, остаточные цифры (К|„.К1,. ...Kl, ) обрабатываются параллельно мультинейро-

процессором в соответствии с заданной программой, выходные сигналы ней-ропроцессоров \Zx(,n\f >|Z2(")|,, ,. _ преобразуются декодером в выходной

сигнал Z(n).

Вычислительное ядро (мультинейропроцессор) выполнено в виде отдельных независимых элементарных нейропроцессоров (ЭНП), обеспечивающих параллельно-конвейерную ЦОС по modр„ где / = 1,2,...,и + 2. В общем случае размерность матриц нейропроцессоров определяется динамическим диапазоном и величинами оснований СОК.

Немодульные операции вычисляются на основе универсальной позиционной характеристики. Вычислительные модели блоков БПХ, PCO, МОД и модифицированная сеть Хэмминга разработаны во 2-4 разделах.

Разработанная НСКК (нейронная сеть конечного кольца) используется для обнаружения ошибок, а модифицированная нейронная сеть Хэмминга используется для коррекции ошибок. Такое решение привело к высокой скорости коррекции ошибок (2 цикла синхронизации) по сравнению с широко применяемым методом проекций (у которого время коррекции имеет линейную зависимость от «-числа модулей СОК), а также к повышению надежности за счет использования корректирующих свойств кода СОК, которые охвачены все модулярные структуры, а остальное оборудование (10-15%) традиционными мажоритарными структурами. Такая гибридная схема обеспечивает отказоустойчивость системы со значительно меньшими аппаратными затратами. Предложенный подход способен обнаружить одиночные, двойные и тройные ошибки и исправить одиночную ошибку с избыточностью 70 %, в то время как традиционное модульное резервирование требует для этой цели 200 % дополнительного оборудования. Кроме того, отказоустойчивость обеспечивается алгоритмом коррекции модулярных кодов, эквивалентных алгоритму свертки Винограда, который базируется на такой же структуре, как и быстрые свертки.

Проведенное компьютерное моделирование нейронных сетей преобразователей ПСС-СОК показало, что нейронная сеть конечного кольца, реализованная на основе принципа рекурсивного сдваивания, по временным показателям превосходит все остальные нейронные сети.

Проведенная сравнительная оценка производительности обобщенной вычислительной модели модулярного нейропроцессора при различных соотношениях модульных и немодульных операций приведена на рисунке 3.

Сплошная кривая соответствует случаю, когда при вычислении немодульных операций использованы новые методы, разработанные в диссертации, а пунктирная кривая - когда при вычислениях немодульных операций использованы старые известные методы. Так, например, если на 10 модульных операций

приходится одна немодульная, то производительность при использовании разработанного метода снижается до величины 0,9, в то время как при использовании старых методов - до 0,6.

— (гасе ! 1с

■•• trжxl

V Рисунок 3

Результаты моделирования нейронных сетей Хопфилда и Хэмминга показали, что сеть Хэмминга обнаруживает и исправляет все одиночные и исправляет 80% двойных ошибок, а нейронная сеть Хопфилда устойчиво работает только при использовании 1-2 эталонов, поэтому в качестве корректирующей сети в модулярном нейропроцессоре СОК выбрана модифицированная нейронная сеть Хэмминга.

Листинги программ моделирования приведены в приложениях к диссертации.

В заключении перечисляются основные результаты диссертационной работы, делаются выводы об эффективности применения полученных результатов.

ВЫВОДЫ ПО РЕЗУЛЬТАТАМ ДИССЕРТАЦИОННОЙ РАБОТЫ

Основные результаты диссертационной работы состоят в следующем:

1.Проведен анализ современных требований, предъявляемых к цифровой обработке сигналов. Показано, что основными алгоритмами цифровой обработки сигналов являются цифровая фильтрация, свертка и дискретное преобразование Фурье, которые, в свою очередь, раскладываются на операции умножения и сложения с накоплением, являющиеся эффективно выполняемыми в модулярной алгебре и имеющими преимущества перед традиционными вычислениями.

2.Установлено, что алгоритмы цифровой обработки сигналов имеют сходство с алгоритмами работы нейронных сетей, поскольку в обоих случаях базовой является операция умножения чисел с накоплением. Выявлена архитектурная связь триады, состоящей из системы остаточных классов, искусственных нейронных сетей и цифровой обработки сигналов, обеспечивающей целочисленное вычисление, при этом первые две составляющие определяют модулярную вычислительную базу, а третья составляющая — реализацию на ней алгоритмов цифровой обработки сигна-

лов. Прикладная и вычислительная модели чисел является областью, где необходимы целочисленные вычисления на модулярной вычислительной базе.

3.Разработаны и исследованы новые математические методы для вычисления множества базисных немодульных операций модулярной арифметики на основе использования универсальной позиционной характеристики.

4.Исследованные связи между ранее используемыми и выбранной в данной работе универсальной позиционной характеристики отношения порядка - коэффициенты ОПСС - позволили разработать эффективный метод вычисления универсальной позиционной характеристики, имеющей низкую алгоритмическую сложность (1-3 цикла синхронизации) по сравнению с известными, которые имеют линейную зависимостью от числа оснований СОК, а также новые алгоритмы выполнения немодульных операций на этой основе.

5. Разработана и теоретически развита математическая модель параллельного расширения кортежа вычетов числа одновременно по нескольким дополнительно введенным основаниям, которая адекватна по скорости расширения на одно основание.

6. Исследование соотношений между числовыми данными, представленными в разных системах счисления, позволило разработать матрицу связности для эффективного перехода от модулярного представления к представлению со смешанными основаниями и, наоборот, которые являются базовыми для безошибочных вычислений в компьютерных модулярных диапазонах и хорошей предпосылкой для разработки и развития новых алгоритмов выполнения других операций модулярной арифметики.

7.Разработан новый итерационный математический метод модулярного деления на основе модификации метода спуска Ферма, который обеспечивает деление чисел при произвольных значениях Делимого и делителя. Метод позволяет расширить области эффективной модулярной обработки, так как лежит не только в основе масштабирования чисел, но и других операций, и входит в состав операций многих вычислительных алгоритмов.

8.На основе разработанных математических методов моделирования модулярного представления и обработки данных проведен синтез обобщенной вычислительной модели модулярного нейропроцессора цифровой обработки сигналов для исследования аддитивных, мультипликативных операций, немодульных процедур модулярной арифметики, процедур обнаружения и коррекции ошибок, которые позволили оценить новые алгоритмические решения и высокую эффективность модулярных вычислений, используемых при проектировании перспективных средств обработки данных.

9.Проведено компьютерное моделирование основных моделей модулярного нейропроцессора цифровой обработки сигналов. Проведенный сравнительный анализ показал высокую эффективность НСКК, реализованной на основе принципа рекурсивного сдваивания, которая является наиболее тиражируемой в предложенном вычислительной архитектуре. Для коррекции ошибок при модулярных вычислениях целесообразно использовать разработанную модифицированную сеть Хэмминга, которая гарантированно обнаруживает и исправляет все одиночные и исправляет 90% двойных ошибок при наличии одного и двух контрольных оснований СОК.

10. Предложенная гибридная схема надежности модулярного нейрокомпьютера обеспечивает отказоустойчивость системы со значительно меньшими аппаратными затратами, которая обнаруживает одиночные, двойные и тройные ошибки и исправляет одиночную ошибку с аппаратной избыточностью 70 %, в то время как традиционное модульное резервирование требует для этой цели 200 % дополнительного оборудования.

11. Проведенная сравнительная оценка производительности обобщенной вычислительной модели модулярного нейропроцессора при различных соотношениях модульных и немодульных операций в смеси показала, что производительность модулярных нейропроцессоров при использовании предложенных методов и алгоритмов выше, чем при использовании известных методов. Так, например, при смеси операций 10 модульных и одной немодульной, производительность увеличилась с 0,6 до 0,9.

Основные результаты диссертации опубликованы в работах:

1. Лавриненко И.Н. Деление чисел, представленных в системе остаточных классов // Инфокоммуникационные технологии. - Самара, том 3, № 3,2005. - С. 3-7.

2.Лавриненко И.Н. Метод эффективной коррекции ошибок компьютерных вычислений на основе системы остаточных классов // Сборник научных трудов. -Ставрополь, 2005. - № 23. - С. 184-189.

З.Червяков Н И., Дьяченко И.В., Лавриненко И.Н., Лавриненко C.B., Конд-рашов A.B. Эффективные методы обработки данных при множественном их представлении в модулярных нейрокомпьютерах // Нейрокомпьютеры: разработка применение. - Москва, 2005. - № 7. - С. 51-63.

4.Червяков Н.И., Мезенцева О.С., Лавриненко И.Н., Сивоплясов Д.В. Метод расширения динамического диапазона модулярного нейрокомпьютера // Нейрокомпьютеры: разработка и применение. - Москва, 2005. - № 7. - С. 64-69.

5.Червяков Н.И., Сахнюк П.А., Шапошников A.B., Лавриненко И.Н. Минимизация количества итераций нейронной сети конечного кольца // Нейрокомпьютеры и их применение. Труды VII Всероссийской конференции 14-16 февраля 2001 г. -М., 2001. -С. 595-598.

б.Червяков Н.И., Лавриненко И.Н., Ляшенко О.Н. Перспективы развития организации вычисления нераспараллеливаемых алгоритмов // Тематический научно-технический сборник. Выпуск 13. - Ставрополь, 1995. - С. 90-95.

7.Червяков Н.И., Лавриненко И.Н., Лавриненко C.B., Мезенцева О.С. Методы и алгоритмы округления, масштабирования и деления чисел в модулярной арифметике // Юбилейная международная научно-техническая конференция «50 лет модулярной арифметике» (в рамках пятой международной научно-технической конференции «Электроника и информатика») - 2005г. Сайт МИЭТ http://www.rnocnit.miee.ru/oroks22W/ и Виртуального компьютерного музея http://www.computer-museum.ru/histussr/sokconfO.htm.

8.Червяков Н.И., Мезенцева О.С., Лавриненко И.Н., Лавриненко C.B., По-допригора Н.Б. Связность обобщенной позиционной системы счисления и системы остаточных классов и ее применение в модулярных нейрокомпыотер-ных технологиях // Сборник трудов первой заочной международной научно-технической конференции "Инфотелекоммуникационные технологии в науке,

производстве и образовании" (19 декабря 2004 г.). - Ставрополь: Сев.-Кав. ГТУ, 2004. - С. 164-181.

9.Червяков Н.И., Васильев A.A., Квасов М.В., Лавриненко И.Н. Преобразователь двоичного кода в код системы остаточных классов. A.C. 1793596, БИ № 5,1993.

10. Червяков Н.И., Оленев A.A., МикулаН.П., Квасов М.В., Лавриненко И.Н. Оценка надежности микропроцессорных систем с распределенной обработкой данных в АСУ // Механизация и автоматизация управления. - Киев, 1991.-№ 2.-С. 35-38.

11. Червяков Н.И., Шапошников A.B., СахнюкП.А., Лавриненко И.Н., Мезенцева О.С., Копыткова Л.Б. Применение многоступенчатой системы остаточных классов для вычислений с большими числами // Материалы XIV НТК "Внедрение новых информационных технологий в процесс управления войсками и оружием, подготовку офицерских кадров в вузах". - Ставрополь, 2000. - С. 6-7.

12. Червяков Н.И., Шапошников A.B., Кравченко C.B., Лавриненко И.Н., Мезенцева О.С., Копыткова Л.Б. Применение СОК для безопасного хранения ключей // Материалы XIV НТК "Внедрение новых информационных технологий в процесс управления войсками и оружием, подготовку офицерских кадров в вузах". -Ставрополь, 2000. - С. 8-11.

13. Червяков Н.И., Сахнюк П.А., Лавриненко И.Н., Копыткова Л.Б., Мезенцева О.С. Перевод чисел, представленных в СОК с вектором одних модулей в вектор других модулей // Материалы XIV НТК "Внедрение новых информационных технологий в процесс управления войсками и оружием, подготовку офицерских кадров в вузах". - Ставрополь, 2000. - С. 5-6.

14. Червяков Н.И., Мезенцева О.С., Лавриненко И.Н., Копыткова Л.Б. Обработка данных в сопроцессорах функционально распределенных вычислительных систем // Сборник научных трудов. Серия Физико-химическая. Вып. 4. - Ставрополь: Изд-во Сев.-Кав. ГТУ, 2002. - С. 91 -96.

15. Червяков Н.И., Копыткова Л.Б., Хатамова М.Х., Непретимова Е.В., Лавриненко И.Н. Метод определения позиционных характеристик чисел, представленных в непозиционной системе счисления II Проблемы физико-математических наук. Материалы VLIV научно-методической конференции "Университетская наука - региону". - Ставрополь: СГУ, 1999. - С. 53-57.

16. Червяков Н.И., Иванов П.Е., Ляшенко О.Н., Копыткова Л.Б., Лавриненко И.Н., Мезенцева О.С. Арифметические приложения функции Эйлера при переводе чисел из СОК в ПСС // Тематический научно-технический сборник. Выпуск 13. - Ставрополь, 1995. - С. 87-89.

Изд. лицхерия ИД №05975 от 03.10.2001 Подписано в печать 09.11.2005 Формат 60x84 1/16 Усл.печ.л. 1,16 Уч.-изд.л. 1,09

Бумага офсетная Тираж 100 экз. Заказ 472

Отпечатано в Издательско-полиграфическом комплексе Ставропольского государственного университета 355009, Ставрополь, ул.Пушкина, 1.

»23396

РНБ Русский фонд

2006-4 25899

Оглавление автор диссертации — кандидата физико-математических наук Лавриненко, Ирина Николаевна

ОБОЗНАЧЕНИЯ И СОКРАЩЕНИЯ.

ВВЕДЕНИЕ.

1. АНАЛИТИЧЕСКИЙ ОБЗОР МЕТОДОВ ЦИФРОВОЙ ОБРАБОТКИ

СИГНАЛОВ.

1.1. Анализ требований, предъявляемых к вычислениям задач цифровой обработки сигналов.

1.2. Обоснование необходимости применения нетрадиционных информационных технологий для цифровой обработки сигналов

1.3. Цифровая обработка сигналов на современной вычислительной базе.

1.4. Применение модулярной арифметики для цифровой обработки сигналов.

1.5. Критерии оценки качества вычислительных и алгоритмических средств. Постановка задачи исследований.

1.6. Выводы.

2. РАЗРАБОТКА МАТЕМАТИЧЕСКИХ МЕТОДОВ

МОДЕЛИРОВАНИЯ ДЛЯ ИССЛЕДОВАНИЯ БАЗИСНЫХ

НЕМОДУЛЬНЫХ ОПЕРАЦИЙ МОДУЛЯРНОЙ АРИФМЕТИКИ,

РЕАЛИЗОВАННЫХ НА ОСНОВЕ УНИВЕРСАЛЬНОЙ

ПОЗИЦИОННОЙ ХАРАКТЕРИСТИКИ.

2.1. Математическая модель модулярной алгебры.

2.2. Выбор универсальной позиционной характеристики чисел для быстрого выполнения немодульных процедур.

2.3. Разработка ускоренных методов и алгоритмов выполнения базисных немодульных процедур.

2.4. Разработка метода расширения базы системы остаточных клас

2.5. Разработка матрицы связности для отображения позиционных и непозиционных числовых систем.

2.6. Выводы.

3. МЕТОДЫ И АЛГОРИТМЫ ОКРУГЛЕНИЯ,

МАСШТАБИРОВАНИЯ И ДЕЛЕНИЯ ЧИСЕЛ В МОДУЛЯРНОЙ

АРИФМЕТИКЕ.

3.1. Деление с нулевым остатком.

3.2. Масштабирование целых положительных чисел.

3.3. Математические модели масштабирования целых отрицательных чисел.

3.4. Разработка метода и алгоритма основного модулярного деления

3.5. Выводы.

4. МОДЕЛИРОВАНИЕ ОСНОВНЫХ ФУНКЦИОНАЛЬНЫХ

МОДУЛЕЙ МОДУЛЯРНОГО НЕЙРОПРОЦЕССОРА ЦИФРОВОЙ

ОБРАБОТКИ СИГНАЛОВ.

4.1. Обобщенная вычислительная модель модулярного нейропро-цессора цифровой обработки сигналов.

4.2. Моделирование процессов в нейронных сетях конечного кольца.

4.3. Моделирование корректирующих свойств кодов системы остаточных классов нейронными сетями Хопфилда и Хэмминга

4.4. Математическое моделирование переходных процессов в нейронных сетях Хопфилда и Хэмминга при исследовании корректирующих свойств кодов СОК.

4.5. Сравнительная оценка производительности модулярного ней-ропроцессора при различных соотношениях модульных и немодульных операций.

4.6. Выводы.

Введение 2005 год, диссертация по информатике, вычислительной технике и управлению, Лавриненко, Ирина Николаевна

Решение широкого круга задач современных фундаментальных и прикладных исследований в таких областях как ядерная физика, оптика, геофизика, нейрофизика, физика атмосферы, сейсмографии, связи, медицинской электроники и многих других требует формирования и быстрой обработки в реальном масштабе времени и высокой степени достоверности огромных массивов цифровой информации.

Благодаря последним достижениям теории и применения цифровой обработки сигналов (ЦОС), лишь сравнительно недавно удалось решить ряд важных трудоемких задач по обработке многомерных сигналов звуковой локации, космической астрономии, медицинской электроники и другим проблемам.

Вместе с тем обширные области задач в рамках быстродействия, обеспечиваемого современными компьютерными системами, практически не могут быть реализованы. Отмеченное обстоятельство стимулирует поиски нетрадиционных подходов к организации ЦОС, которые обеспечивают оптимальное отображение базовых алгоритмических структур на перспективные СБИС и ПЛИС архитектуры. Это вызвало к жизни новые направления, названные анализом компьютерных алгоритмов, а также компьютерной алгеброй.

Общей фундаментальной стратегией теоретических исследований и конкретных разработок, осуществляемых в настоящее время как у нас, так и за рубежом, является применение подходов, базирующихся на интенсивном использовании различных форм параллелизма на алгоритмическом, программном и аппаратном уровнях.

Реализация этих подходов привела к созданию так называемых параллельно-конвейерных вычислительных структур, супер-ЭВМ, транспьютерных и тому подобных систем, которые отличаются принципиально новой организацией вычислений. При этом возникает ряд новых сложных проблем, связанных с оптимизацией алгоритмических, программных и аппаратных средств ЦОС. Несмотря на многообразие целевых функций, характерных для наиболее трудоемких процессов, их реализация фактически всегда сводится к выполнению определенного набора базовых процедур. Ключевую роль в современных системах ЦОС играет процедура быстрого преобразования Фурье (БПФ), фильтрации, свертки. Поскольку эти алгоритмы характеризуются внутренним параллелизмом, то перспективной и многообещающей является их реализация с помощью нетрадиционных методов кодирования информации и соответствующей компьютерной алгебры и, в первую очередь, с помощью модулярных систем счисления (МСС), как систем, обладающих максимальным уровнем внутреннего параллелизма. Подтверждением этому тезису являются публикации зарубежных авторов, появившиеся за последние пять лет, например [119, 120, 121, 122, 124] и другие. Применение модулярной арифметики позволяет синтезировать алгоритмические и аппаратные структуры процессоров ЦОС, которые по сравнению с традиционными обеспечивают более высокое быстродействие, надежность, отказоустойчивость и т.п.

Успешное решение подобных задач обеспечивается наличием современной вычислительной базы, представленной в виде искусственных нейронных сетей, которые тоже обладают массовым параллелизмом.

Интерес к принципам вычислений в СОК с использованием нейросете-вого базиса усиливается также благодаря тому, что вычислительные параллельные структуры, построенные на основе нейронных сетей и предназначенные для реализации всех арифметических операций без горизонтальных связей образуют регулярность вычислений по каждому модулю. Это позволяет ввести в реализацию принцип взаимозаменяемости каждого модульного тракта. На этой основе достаточно просто реализовать принцип арифметической реконфигурации, который позволяет при наличии устойчивого отказа по некоторому модулю, исключить его, заменив его избыточным резервным модулем или перестроить мультинейропроцессор путем записи новых хранимых констант и весовых коэффициентов во все нейронные сети. Этот принцип позволяет использовать деградацию системы, которая будет функционировать, но в снижении некоторых параметров.

Кроме того, модулярная арифметика постоянно привлекает к себе внимание специалистов по разработке позиционно-модулярных вычислительных структур, где четко обозначается тенденция совместного применения позиционных и модулярных компонентов. Это дает возможность с одной стороны сочетать достоинства модулярных систем счисления и позиционных систем счисления, а с другой нивелировать их недостатки.

Однако создание высокопроизводительных систем ЦОС с позиционно-модулярной структурной организацией требует решения целого ряда актуальных проблем, ориентированных на совместное использование с позиционными структурами.

К основным из этих проблем относятся: разработка алгоритмических и вычислительных структур, позволяющих в полной мере реализовать достоинства модулярных систем счисления; оптимизация процедур выполнения таких немодульных операций, как контроль переполнения, масштабирование кодовых преобразований и другие; синтез перспективных позиционно-модулярных вычислительных структур, предназначенных для создания высокопроизводительных и надежных типовых блоков ЦОС.

В свете сказанного исключительно актуальными являются исследования, ориентированные на применение модулярной арифметики и нейронных сетей для ЦОС, при этом первостепенное значение имеют математические модели, которые включают в себя совокупность множества немодульных операций и алгоритмы вычислений.

Объектом диссертационных исследований являются модулярные вычислительные структуры ЦОС, а предметом - модели и алгоритмы вычисления множества немодульных операций, которые являются основой эффективной модулярной ЦОС.

Научная задача исследований состоит в разработке математических методов моделирования модулярного нейропроцессора, адаптированного к специфике цифровой обработки сигналов.

Для решения поставленной общей научной задачи была проведена ее декомпозиция на ряд частных задач:

1. Анализ процедур ЦОС с точки зрения использования модулярных принципов параллельных вычислений и отображение преобразований ЦОС на вычислительную нейросетевую базу.

2. Обоснование и выбор универсальной позиционной характеристики, а также разработка методов и алгоритмов для эффективного ее вычисления.

3. Разработка математических методов моделирования для исследования процессов при вычислениях множества базисных немодульных операций модулярной арифметики на основе использования универсальной позиционной характеристики.

4. Разработка численных методов и алгоритмов округления, масштабирования и деления чисел, представленных в модулярной арифметике, а также разработка алгоритмов перехода от позиционных к непозиционным числовым структурам и обратно.

5. Синтез обобщенной вычислительной модели модулярного нейропроцессора ЦОС и его компьютерное моделирование.

Цель диссертационной работы состоит в повышении скорости и надежности вычислений специализированными нейропроцессорами цифровой обработки сигналов.

Методы исследований. Для решения поставленных в работе научных задач были использованы методы теории чисел, алгебры, комбинаторики, теории вероятностей, математического моделирования, нейронных сетей, нейроматематики, исследования операций и теории надежности.

Достоверность и обоснованность полученных в диссертационной работе теоретических результатов и формируемых на их основе выводов обеспечивается строгостью производимых математических выкладок, базирующихся на аппарате теории чисел и численных методах. Справедливость выводов относительно эффективности предложенных моделей, методов и алгоритмов подтверждена математическим компьютерным моделированием.

На защиту выносятся следующие основные положения:

1. Методы и алгоритмы эффективного вычисления выбранной универсальной позиционной характеристики.

2. Новые математические методы моделирования базисных немодульных операций модулярной арифметики на основе универсальной позиционной характеристики.

3. Методы и алгоритмы расширения, округления, масштабирования и деления чисел, представленных в модулярной системе счисления.

4. Матрица связности позиционных и непозиционных числовых систем, используемая для согласования кодовых числовых последовательностей.

5. Обобщенная вычислительная модель модулярного нейропроцессора ЦОС с обнаружением и коррекцией ошибок и ее компьютерное моделирование.

Научная новизна полученных в диссертации результатов состоит в следующем:

1. Обосновании полного согласования моделей модулярной обработки на основе нейросетевой вычислительной базы с моделями цифровой обработки сигналов, ориентированных на вычислительную архитектуру с векторными вычислениями и с сокращенным числом операций (сложения и умножения), что ведет к повышению эффективности обработки сигналов.

2. Разработке численного метода параллельного вычисления универсальной позиционной характеристики с высокой скоростью и малыми затратами вычислительной базы.

3. Разработке новых математических методов моделирования вычислительных устройств, используемых для выполнения множества базисных немодульных процедур, вычисляемых на основе применения универсальной позиционной характеристики и оценке качества их моделей.

4. Разработке метода основного деления модулярных чисел для случая произвольных делимого и делителя, который может быть использован не только в алгоритмах масштабирования, но и в других вычислительных алгоритмах цифровой обработки сигналов. Доказательстве теоремы о выборе значения делителя, который используется в методе спуска Ферма при конструировании итерационного деления модулярных чисел.

5. Разработке матрицы связности позиционных и непозиционных числовых систем, обеспечивающей быстрое прямое и обратное преобразование чисел из СОК в ОПСС и из ОПСС в СОК.

6. Синтезе обобщенной вычислительной модели модулярного нейро-процессора цифровой обработки сигналов на основе использования разработанных моделей модульных и немодульных операций.

7. Разработке алгоритма совместного применения нейронных сетей конечного кольца и предложенной модифицированной сети Хэмминга для обнаружения и коррекции ошибок в модулярных вычислениях.

8. Компьютерном моделировании разработанных моделей прямого и обратного преобразования кодовых конструкций СОК-ПСС, ПСС-СОК для определения характеристики и выбора на их основе оптимальной структуры нейронной сети конечного кольца.

9. Моделировании процессов, происходящих в нейронных сетях Хоп-филда и модифицированной сети Хэмминга, используемых для коррекции ошибок в избыточных модулярных кодовых конструкциях с целью определения корректирующих свойств этих сетей и выбора эффективной нейронной сети для применения ее в быстродействующем и надежном модулярном нейрокомпьютере.

Практическая значимость. Разработанные модели, методы и алгоритмы параллельно-конвейерной модулярной обработки на основе нейросетевой вычислительной базы позволяют строить высокопроизводительные и отказоустойчивые нейропроцессоры ЦОС нового класса, которые способны выполнять в реальном масштабе времени колоссальные объемы математических расчетов над огромными массивами данных. Предложенные модулярные структуры ЦОС, благодаря их естественному параллелизму, однородности, регулярности, прочим особенностям, относятся к разряду структур, наилучшим образом согласующихся с принципами организации и ограничением технологий изготовления СБИС, включая наиболее перспективный их класс - программируемые логические интегральные схемы типа ХШпх.

Реализация результатов. Теоретические и практические результаты диссертационной работы использованы при выполнении НИР «Новый класс нейронных цифровых фильтров с параллельной обработкой данных », номер Государственной регистрации №01.01.00105057 по гранту Министерства образования РФ Т00-3.3-292 и реализованы в Воронежском ЦНИИС в ходе проведения ОКР «Бланк» при разработке блока ЦОС в рамках НИР ХД401-98, а также в учебном процессе СГУ и СВИС РВ.

Апробация работы. Основные результаты диссертации докладывались на Международной научной конференции «50 лет модулярной арифметике» (Москва, сентябрь, 2005 г.), VII Всероссийской конференции «Нейрокомпьютеры и их применение» (Москва, 14-16 февраля 2001 г.), ХШ НТК «Внедрение новых информационных технологий в процессе управления войсками и оружием, подготовке офицерских кадров в ВУЗах» (Ставрополь, 2000 г.), ХЫУ научно-методической конференции «Университетская наука - региону» (Ставрополь, СГУ, 1999 г.), Первой международной научно-технической конференции «Ин-фокоммуникационые технологии в науке, производстве и образовании»(Ставрополь, СГТУ, 2004 г.), научно-технических конференциях СВИС РВ (1993-2005 гг.).

Публикации. Основные результаты диссертации достаточно полно изложены в 15 научных статьях, одном изобретении A.C. «Преобразователь двоичного кода в код системы остаточных классов», БИ 5, 1993 г. и двух заявках на изобретения:

1. Нейронная сеть для обнаружения, локализации и исправления ошибок в СОК.

2. Нейронная сеть для деления чисел, представленных в СОК.

Структура и объем диссертации. Работа состоит из введения, четырех

Заключение диссертация на тему "Разработка математических методов моделирования модулярного нейропроцессора цифровой обработки сигналов"

Основные результаты диссертационной работы состоят в следующем:

1. Проведен анализ современных требований, предъявляемых к цифровой обработке сигналов. Показано, что основными алгоритмами цифровой обработки сигналов являются цифровая фильтрация, свертка и дискретное преобразование Фурье, которые в свою очередь раскладываются на операции умножения и сложения с накоплением, являющиеся эффективно выполняемыми в модулярной алгебре и имеющим преимущества перед традиционными вычислениями.

2. Установлено, что алгоритмы цифровой обработки сигналов имеют сходство с алгоритмами работы нейронных сетей, поскольку в обоих случаях базовой является операция умножения чисел с накоплением. Выявлена архитектурная связь триады, состоящей из системы остаточных классов, искусственных нейронных сетей и цифровой обработки сигналов, обеспечивающей целочисленное вычисление, при этом первые две составляющие определяют модулярную вычислительную базу, а третья составляющая - реализацию на ней алгоритмов цифровой обработки сигналов. Прикладная и вычислительная модели чисел является областью, где необходимы целочисленные вычисления на модулярной вычислительной базе.

3. Разработаны математические методы моделирования множества базисных немодульных операций модулярной арифметики на основе использования универсальной позиционной характеристики.

4. Исследованные связи между ранее используемыми и выбранной в данной работе универсальной позиционной характеристики отношения порядка - коэффициенты ОПСС - позволили разработать эффективный метод вычисления универсальной позиционной характеристики, имеющей низкую алгоритмическую сложность (1-3 цикла синхронизации) по сравнению с известной линейной зависимостью от числа оснований СОК, а также новые алгоритмы выполнения немодульных операций на этой основе.

5. Разработан метод параллельного расширения кортежа вычетов числа одновременно по нескольким дополнительно введенным основаниям, при этом время расширения не зависит от числа вновь введенных оснований СОК.

6. Исследование соотношений между числовыми данными, представленными в разных системах счисления, позволило разработать и исследовать матрицу связности для эффективного перехода от модулярного представления к представлению со смешанными основаниями, являющуюся базовой для безошибочных вычислений в компьютерных модулярных диапазонах и хорошей предпосылкой для разработки и развития новых алгоритмов выполнения других операций модулярной арифметики.

7. Разработан новый итерационный метод модулярного деления на основе модификации метода спуска Ферма, который обеспечивает деление чисел при произвольных значениях делимого и делителя. Метод позволяет расширить области эффективной модулярной обработки, так как лежит не только в основе масштабирования чисел, но и других операций, и входит в состав операций многих вычислительных алгоритмов.

На основе разработанных моделей модулярного представления и обработки данных проведен синтез обобщенной вычислительной модели модулярного нейропроцессора цифровой обработки сигналов для исследования аддитивных, мультипликативных операций, немодульных процедур модулярной арифметики, процедур обнаружения и коррекции ошибок, которые позволили оценить новые алгоритмические решения и высокую эффективность модулярных вычислений, используемых при проектировании перспективных средств обработки данных. Сравнительная оценка зависимости производительности модулярного нейропроцессора от соотношения смеси команд модульных и немодульных операций показала, что границы применяемости модулярного нейропроцессора при использовании предложенных методов и алгоритмов вычисления немодульных операций на основе использования универсальной позиционной характеристики расширены до 20-25 % при снижения производительности до значения, равного 0,8.

8. Проведено компьютерное моделирование основных модулей модулярного нейропроцессора цифровой обработки сигналов. Проведенный сравнительный анализ показал высокую эффективность НСКК, реализованной на основе принципа рекурсивного сдваивания, которая является наиболее тиражируемой в предложенной вычислительной архитектуре. Для коррекции ошибок при модулярных вычислениях целесообразно использовать разработанную модифицированную сеть Хэмминга, которая гарантированно обнаруживает и исправляет все одиночные и 80% двойных ошибок при наличии двух контрольных оснований СОК.

ЗАКЛЮЧЕНИЕ

Библиография Лавриненко, Ирина Николаевна, диссертация по теме Математическое моделирование, численные методы и комплексы программ

1. Акушский И.Я., ЮдицкийД.И. Машинная арифметика в остаточных классах. М.: Советское радио, 1968. - 440 с.

2. Амербаев В.М. Теоретические основы машинной арифметики. -Алма-Ата: Наука, 1976. 324 с.

3. Акритас А. Основы компьютерной алгебры с приложениями. Пер. с англ. М.: Мир, 1999. - 544 с.

4. Аппаратные и программные средства ЦОС // ТИИЭР. 1987. Т. 75. № 9. С. 8-30.

5. Бандман O.A. Специализированные процессоры для высокопроизводительной обработки данных. Новосибирск: Наука, 1988. - 204 с.

6. Белоус А.Н. и др. Микропроцессорный комплекс БИС серии К 1815 для цифровой обработки сигналов: Справочник / Под ред. А.Н. Сухопарова. -М.: Радио и связь, 1992. 256 с.

7. Блейхут Р. Быстрые алгоритмы цифровой обработки сигналов. М.: Мир, 1989.-448 с.

8. Борисов В.Л., Капитонов В.Д. Методика быстрого создания нейроу-скорителей. Нейрокомпьютеры: разработка, применение. - 2000 г. — № 1 — С. 12-31.

9. Борисов В.Л. Как правильно выбрать нейроускоритель // Сборник докладов 5 Всероссийской конференции "Нейрокомпьютеры и их применение", Москва, 17-19 февраля 1999 г. С. 218-222.

10. Быстрые алгоритмы в цифровой обработке изображений / Под ред. Т.С. Хунга. М.: Радио и связь, 1984. - 221 с.

11. Виноградов И.М. Основы теории чисел. М.: Изд-во "Лань", 2004.- 176 с.

12. Виксне П.Е., Фомин Д.В., Черников В.М. Однокристальный цифровой нейропроцессор с переменной разрядностью операндов // Известия Вузов. Приборостроение. № 7. - 1996. - С. 13-21.

13. ГалуевГ.А. Параллельные цифровые нейрокомпьютерные системы и нейросетевые процессоры обработки и распознавания зрительных образов. Таганрог: НИИ МВС ТРТУ, 1997. - 136 с.

14. Галушкин А.И. Итоги развития теории многослойных нейронных сетей (1965-1995 гг.) в работах Научного центра нейрокомпьютеров и ее перспективы. -Нейрокомпьютер, 1996. -№ 1,2.

15. Галушкин А.И. Нейрокомпьютеры восьмидесятых (начало очередной революции в области нейрокомпьютеров). Зарубежная радиоэлектроника. Успехи современной радиоэлектроники, 1999. - № 1. - С. 3-16.

16. Галушкин А.И. Нейросетевые алгоритмы оптимального выбора подмножества векторов случайной выборки. Нейрокомпьютер, 1997. -№1,2.-С. 39-47.

17. Галушкин А.И. Синтез многослойных систем распознавания образов. М.: Энергия, 1974. - 356 с.

18. Галушкин А.И. Современные направления развития нейрокомпью-терных технологий в России // Зарубежная радиоэлектроника. Успехи современной радиоэлектроники. 1998. - № 1. - С. 3-17.

19. Галушкин А.И. и др. Некоторые концептуальные вопросы развития нейрокомпьютеров. Зарубежная радиоэлектроника. Успехи современной радиоэлектроники. - 1997. - № 2. - С. 3-10.

20. Гамкрелидзе С.А. Цифровая обработка информации на основе быстродействующих БИС. М.: Энергоатомиздат, 1988. - 136 с.

21. Гамкрелидзе С.А. Применение однородных вычислительных сред для реализации нейрокомпьютеров // Сборник докладов 5 Всероссийской конференции "Нейрокомпьютеры и их применение", Москва, 17-19 февраля 1999 г.-С. 322-325.

22. ГолдБ. и др. Цифровая обработка сигналов. М.: Сов. Радио, 1973.-368 с.

23. Гуляев A.B. Организация живучих вычислительных систем // Управляющие системы и машины. 1987. - № 5. - С. 26-29.

24. ГорбаньА.Н. Обучение нейронных сетей. М.: СП "ПараГра", 1990.-160 с.

25. Дадаев Ю.Г. Арифметические коды, исправляющие ошибки. М.: Радио и связь, 1969. - 168 с.

26. Долгов А.И. Диагностика устройств, функционирующих в системе остаточных классов. М.: Радио и связь, 1982. - 64 с.

27. Ерофеев A.A. Сигнальные процессоры. М.: Знание, 1991. - 62 с.

28. Истратов А.Ю. Нейрокомпьютер SYNAPS1 N110. Нейрокомпьютер. - 1996. - № 1, 2. - С. 56-59.

29. Инютин С.А. Теория и методы моделирования вычислительных структур с параллелизмом машинных операций. М.: Докторская диссертация, 2002. - 264 с.

30. Каляев В.А. Многопроцессорные вычислительные системы. Таганрог: Наука, 1990. - 205 с.

31. Калман П. Основные концепции нейронных сетей. М.: Издательский дом "Вильянс", 2001.-280 с.

32. Карелов И.Н. Реализация алгоритмов цифровой обработки сигналов на основе нейроподобной сети // Сборник докладов 5 Всероссийской конференции "Нейрокомпьютеры и их применение", Москва, 17-19 февраля 1999 г.-С. 218-222.

33. Коляда A.A., ПакИ.Т. Модулярные структуры конвейерной обработки цифровой информации. Мн.: Университетское, 1992. - 256 с.

34. Комарцова Л.Г., Максимов A.B. Нейрокомпьютеры. М.: Изд-во МГТУ им. Н.Э. Баумана, 2002. - 320 с.

35. Кнут Д. Искусство программирования для ЭВМ.Т. 2. М.: Мир, 1972.-840 с.

36. КухаревГ.А., Тропченко А.Ю., Шменко В.П. Систолические процессоры для обработки сигналов. Минск: Белорусь, 1998.

37. Лавриненко И.Н. Деление чисел, представленных в системе остаточных классов // Инфокоммуникационные технологии. Самара, том 3, № 2, 2005. - С. 3.

38. Лавриненко И.Н. Метод эффективной коррекции ошибок компьютерных вычислений на основе системы остаточных классов // Сборник научных трудов. Ставрополь, 2005. - № 23. - С. 184-189.

39. Маклеллан Дж. и др. Применение теории чисел в цифровой обработке сигналов. М.: Радио и связь, 1983. - 264 с.

40. Нейросетевые алгоритмы обработки изображений // Итоги науки и техники. Сер. Физические и математические модели нейронных сетей. Т. 3. — М.: ВИНИТИ. 1991. - 232 с.

41. Нуссбаумер Г. и др. Быстрые преобразования Фурье и алгоритмы сверток. М.: Радио и связь, 1985. - 248 с.

42. Оппенгейм А. и др. Цифровая обработка сигналов. М.: Связь, 1979.-416 с.

43. ПолардДж. Быстрые преобразования Фурье в конечном поле // Применение теории чисел в цифровой обработке сигналов. М.: Радио и связь, 1983.-С. 147-156.

44. Программируемые логические интегральные схемы фирмы Xilinx. Каталог продукции. Воронеж, Scan Engineering Telecom, 1999. - 36 с.

45. Применение ПЛИС Xilinx для построения нейронных сетей. Воронеж, Scan Engineering Telecom, 1998. - 30 с.

46. РабинерЛ., ГолдБ. Теория цифровой обработки сигналов. М.: Мир, 1978.-848 с.

47. Разработка структуры и алгоритмов функционирования высокоскоростных цифровых фильтров. НИР "Соломорезка ХД 001-98", Отчет /

48. МО РФ: Научный руководитель Н.И. Червяков, ответственный исполнитель Сахнюк П.А. СВВИУС, 1999. - 127 с.

49. СБИС для распознавания образов и обработки изображений / Под ред. К. Фу. М.: Мир, 1988. - 247 с.

50. Сверхбольшие интегральные схемы и современная обработка сигналов / Под ред. Г. Куна. М.: Радио и связь, 1989. - 472 с.

51. Содерстэнд М. Недорогой быстродействующий рекурсивный фильтр на основе арифметики остаточных классов // ТИИЭР. 1977. - Т. 65. № 7. - С. 95-99.

52. Стемпковский A.JI., Осипов Л.Б., Селезнев С.З. Проблемы реализации отказоустойчивых архитектур нейрочипов по технологии Систем с Интеграцией на Пластине. Информационные технологии. - 1997. - № 5. — С. 15-20.

53. Стемпковский А.Л., Корнилов А.Н., Семенов М.Ю. Особенности реализации устройств цифровой обработки сигналов в интегральном исполнении с применением модулярной арифметики // Инфокоммуникационные технологии. 2004. - № 2. - С. 2-9.

54. Тейлор Ф. Дж., В. Дирр-мл. Новый преобразователь из модулярного представления в десятичное. ТИИЭР, т. 73, № 2, февраль, 1985.

55. Торгашев В.А. Система остаточных классов и надежность ЦВМ. -М.: Сов. радио, 1973.- 120 с.

56. Уоссермен Ф. Нейрокомпьютерная техника: Теория и практика. -М.: Мир, 1992.-240 с.

57. Фрид Д. Построение вычислительных систем на базе перспективных микропроцессоров. М.: Мир, 1990. - 205 с.

58. Цифровая обработка сигналов и ее применение / Под. ред. Л.П. Ярославского. М.: Наука, 1981. - 207 с.

59. Цифровая обработка сигналов: Справочник / Под ред. Л.М. Голь-денберга. М.: Радио и связь, 1985. - 312 с.

60. Цифровая обработка сигналов на ПЛИС Xilinx. Воронеж, Scan Engineering Telecom, 1998. - 19 с.

61. Цифровые фильтры и устройства обработки сигналов на ИМС / Под ред. Ф.Б. Высоцкого. М.: Радио и связь, 1984. - 216 с.

62. Червяков Н.И. Отказоустойчивые непозиционные процессоры // Управляющие системы и машины. -1988. № 3. - С. 3-7.

63. Червяков Н.И. Преобразователи цифровых позиционных и непозиционных кодов в системах управления и связи. Ставрополь: СВВИУС, 1985.-63 с.

64. Червяков Н.И. Надежность и живучесть систем управления и связи, функционирующих в СОК. Ставрополь: СВВИУС, 1986. - 58 с.

65. Червяков Н.И. Применение системы остаточных классов в цифровых системах обработки и передачи информации. Ставрополь: СВВИУС, 1985.-68 с.

66. Червяков Н.И. Функциональные представления параметров арифметического устройства, функционирующего в системе остаточных классов / Помехоустойчивость и эффективность систем связи и управления. -СВВИУС, вып. 6. С. 45-52.

67. Червяков Н.И. Математическая постановка задачи оптимизации арифметического устройства, функционирующего в системе остаточных классов / Помехоустойчивость и эффективность систем связи и управления. -СВВИУС, вып. 7. С. 33-38.

68. Червяков Н.И., Велигоша A.B., Сахнюк П.А. Распараллеливание операций перевода чисел из СОК в ПСС / Тематический НТСб., вып. 16. -Ставрополь: ФРВИРВ, 1998.-С. 141-143.

69. Червяков Н.И., Сахнюк П.А. Применение нейроматематики для реализации модулярной арифметики при вычислениях в конечных кольцах. -Нейрокомпьютеры: разработка, применение. 1999. - № 14. - С. 12-25.

70. Червяков Н.И., Сахнюк П.А. Отказоустойчивая архитектура непозиционных нейрочипов для решения сложных задач в масштабе реального времени // Тематический научно-технический сборник. СФРВИ РВ, вып. 17, 1999.-С. 39-41.

71. Червяков Н.И., Сахнюк П.А. Нейросетевые алгоритмы в СОК для обработки сигналов //Сб. докл. XII НТК "Ресурсосберегающие методы эксплуатации вооружения и военной техники войск связи". Ставрополь: ФРВИРВ, 1998.-С. 75.

72. Червяков Н.И., Сахнюк П.А., Копыткова Л.Б. Применение нейронных сетей для прямого и обратного преобразования кодов СОК // Вестник Ставропольского ГУ. СГУ, 1999. - С. 57-63.

73. Червяков Н.И., Оленев A.A., Микула Н.П., Квасов М.В., Лаври-ненко И.Н. Оценка надежности микропроцессорных систем с распределенной обработкой данных в АСУ // Механизация и автоматизация управления.-Киев, 1991.-№ 2.-С. 35-38.

74. Червяков Н.И., Иванов П.Е., Ляшенко О.П., Копыткова Л.Б., Лав-риненко И.Н., Мезенцева О.С. Арифметические приложения функции Эйлера при переводе чисел из СОК в ПСС // Тематический научно-технический сборник. Выпуск 13. Ставрополь, 1995. - С. 87-89.

75. Червяков Н.И., Сахнюк П.А., Шапошников A.B., Лавриненко И.Н. Минимизация количества итераций нейронной сети конечного кольца // Нейрокомпьютеры и их применение. Труды VII Всероссийской конференции 1416 февраля 2001 г. М., 2001. - С. 595-598.

76. Червяков Н.И., Васильев A.A., Квасов М.В., Лавриненко И.Н. Преобразователь двоичного кода в код системы остаточных классов. A.C. 1793596, БИ № 5, 1993.

77. Червяков Н.И., Лавриненко И.Н., Ляшенко О.В. Перспективы развития организации вычисления не распараллеливаемых алгоритмов // Тематический научно-технический сборник. Выпуск 13. Ставрополь, 1995. -С. 90-95.

78. Червяков H.H., Мезенцева О.С., Лавриненко И.Н., Сивопля-сов Д.В. Метод расширения динамического диапазона модулярного нейрокомпьютера // Нейрокомпьютеры: разработка, применение. 2005. -№ 7. - С. 64-69.

79. Червяков Н.И., Дьяченко И.В., Лавриненко И.Н., Лавриненко C.B., Кондрашов A.B. Эффективные методы обработки данных при множественном их представлении в модулярных нейрокомпьютерах // Нейрокомпьютеры: разработка, применение. 2005. - № 7. - С. 51-63.

80. Червяков Н.И., Сахнюк П.А., Шапошников А.В., Ряднов С.А. Модулярные параллельные вычислительные структуры нейропроцессорных систем. М.: Физматлит, 2002. - 288 с.

81. Червяков Н.И., Сахнюк П.А., Шапошников А.В. Макоха А.Н. Нейрокомпьютеры в остаточных классах. М.: ИПРЖР, 2003. - 272 с.

82. Червяков Н.И., Тынчеров К.Т., Велигоша А.В. Высокоскоростная обработка сигналов с использованием непозиционной арифметики. Радиотехника. - 1997. -№10. - С. 23-27.

83. Шевченко П.А., Фомин Д.В., Черников В.М., Виксне П.Е. Применение микропроцессора NeuroMatrix 6403 для эмуляции нейронных сетей. -Нейрокомпьютер. 1998. -№ 3, 4. - С. 145-157.

84. Шуба Ю.А. Оценка целесообразности применения системы остаточных классов в аппаратуре обработки сигналов // Радиотехника. Т.25. -1980. -№1. — С.75-76.

85. Энслоу Ю. Мультипроцессорные системы и параллельные вычисления. М.:Мир, 1982. - 264 с.

86. Ярославский Л.П. Введение в цифровую обработку изображений. М.: Сов. радио, 1979. - 371 с.

87. Good I.J. The interaction Algorithm and Practical Fourier Analysis, Journal of Royal Statistical Society. Ser.B, 1998, vol. 20, no. 2, pp. 361-372.

88. W. Jenkins Use of residue number in design of finite impulse response digital filter / IEEE Trans, on Circuits and Syst. 1977. vol.GAS-24, №4. P. 191-200.

89. G. Jullien A VLSI implementation of KNS-Based architectures // International Symposium on Circuits and Systems, Japan, 1985/

90. D. Miller An implementation of the IMS algorithm in the BNS // IEEE Trans, on Circuits and Syst. 1984. vol. CAS-31, № 5. P. 452-461.

91. Neural Networks. A Comprehensive Foundation. New. York: Mac-millan College Company, 1994, p. 698.

92. Shandle Jack. Neural networks are for prime time//Electronic Design, 1993. №4. P. 51-58.

93. Krichnan R., Jullien C.A., Miller W.C. Complex digital signal processing using quadratic resudue number system // IEEE Trans. Acoust. 1986. -ASSR-34.-P. 116-167.

94. D.Zhang Parallel designs for Chinese remainder conversion // Proc. Int. Conf. Parallel Process (17-21 Aug. 1987). University Park, Pa, 1987. P. 557 -559.

95. D. Zhang, G.A. Jullien and W.C. Miller (1989). A neural-like approach to finite ring computation // IEEE Trans. Circuits and Syst., 1990, 37, № 8, pp. 1048-1052.

96. Zhang D., Jullien G.A., Miller W.C. VLSI implementations of neural-like networks for finite ring computations // Proc. 23nd Vidwest Symp. Circuits and Syst., Champaign, III, Aug. 14-16, 1989, vol. 1, New York (N. Y.), 1990, pp. 485-488.

97. Zhang D. Parallel VLSI neural sections designs. Springer, 1998, p.257.

98. Srubo N., Tanako. Residue arithmetic and is applications to computer technology. New York, 1967, pp. 238.

99. A. Garc'ia, U. Meyer-B"ase, A. Lloris, and F. Taylor. RNS Implementation of FIR Filters Based on Distributed Arithmetic Using Field-Programmable Logic // Proc. of the 1999 IEEE International Symposium on Circuits and Systems, 1999, vol. l,pp. 486-489.

100. V. Hamann and M. Sprachmann. Fast Residual Arithmetic with FPGAs // Proc. of theWorkshop on Design Methodologies for Microelectronics, Slovakia, Sept. 1995.

101. H. Safiri, H. Ahamadi, G. Jullien and V. Dimitrov. Design and FPGA Implementation of Systolic FIR Filters Using the Fermat ALU // Proc. of the Asi-lomar Conference on Signals, Systems and Computers, Pacific Grove, 1996.

102. E. Di Claudio, F. Piazza, and G. Orlandi. Fast Combinational RNS Processors for DSP Applications // IEEE Transactions on Computers, 1995, pp. 624-633.

103. L. Maltar, F.M.G. Franca, V.C. Alves, and C.L. Amorim. Implementation of RNS Addition and RNS Multiplication into FPGAs // Proc. of the 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, April 1998, pp. 331-332.

104. J. Ram'irez, A. Garc'ia, P.G. Fern'andez, L. Parrilla, and A. Lloris. RNS-FPL Merged Architectures for the Orthogonal DWT // Electronics Letters, vol. 36, no. 14, 2000, pp. 1198-1199.

105. J. Ram'irez, A. Garc'ia, P.G. Fern'andez, and A. Lloris. An Efficient RNS Architecture for the Computation of Discrete Wavelet Transforms on Programmable Devices // Proc. of the X European Signal Processing Conference, Sept. 2000, pp. 255-258.

106. U. Meyer-Base, A. Garc'ia, and F. Taylor. Implementation of a Communications Channelizer Using FPGAs and RNS Arithmetic // Journal of VLSI Signal Processing, vol. 28, 2001, pp. 115-118.

107. G. Strang and T. Nguyen, Wavelets and Filter Banks. Wellesly-Cambridge Press, 1997.

108. M. Vetterli and J. Kovacevic, Wavelets and Subband Coding. Engle-wood Cliffs, NJ: Prentice Hall, 1995.

109. Paul E., Beckmann, Bruce R. Musicus. Fast Fanet Tolerant Digital Convolution Using a Polynomial Residue Number System // Reprinted from IEEE Transactions on Signal Processing, 1993, pp. 2300-2313.

110. J. Ramires, A. Curcein. Loper-Buedo and Llones. RNS-enabled oligi-tuc signal processor olesiay // ELECTRONICS LETTERS, 2002, vol. 38 № 6. -pp. 266-268.