автореферат диссертации по приборостроению, метрологии и информационно-измерительным приборам и системам, 05.11.14, диссертация на тему:Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения

кандидата технических наук
Родионов, Илья Анатольевич
город
Москва
год
2010
специальность ВАК РФ
05.11.14
цена
450 рублей
Диссертация по приборостроению, метрологии и информационно-измерительным приборам и системам на тему «Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения»

Автореферат диссертации по теме "Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения"

004697969

Родионов Илья Анатольевич

РАЗРАБОТКА ЛИТОГРАФИЧЕСКИХ ПРОЦЕССОВ ИЗГОТОВЛЕНИЯ СБИС С РАЗМЕРАМИ ЭЛЕМЕНТОВ МЕНЬШЕ ДЛИНЫ ВОЛНЫ ЭКСПОНИРУЮЩЕГО ИЗЛУЧЕНИЯ

05.11.14 - Технология приборостроения

Автореферат диссертации на соискание ученой степени кандидата технических наук

- 9 СЕН 2010

Москва-2010

004607969

Работа выполнена в Московском государственном техническом университете имени Н.Э. Баумана

Научный руководитель член-корр. РАН,

профессор Шахнов Вадим Анатольевич

Официальные оппоненты: доктор технических наук,

профессор Медведев Аркадий Максимович

(МАИ)

кандидат технических наук, заведующий лабораторией Рыжиков Илья Анатольевич

(ИТПЭ РАН)

Ведущая организация Федеральное государственное унитарное

предприятие «Научно-производственное

предприятие «Пульсар» (Москва)

Защита диссертации состоится « т » /О 2010 г. в /Г' часов на заседании диссертационного совета Д212.141.18 в Московском государственном техническом университете им. Н.Э. Баумана по адресу: 105005, г. Москва, 2-ая Бауманская ул., д.5.

С диссертацией можно ознакомиться в библиотеке Московского государственного технического университета им. Н.Э. Баумана.

Ваш отзыв в 1 экземпляре просим высылать по указанному адресу.

Автореферат разослан « с£3 » 0¥ 2010 г.

Телефон для справок: 8(499) 267-89-63.

Ученый секретарь диссертационного совета

д.т.н., профессор ( ^ Цветков Ю.Б.

(!)

ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность. Массовое производство современных сверхбольших интегральных микросхем (СБИС), светодиодов высокой яркости, жестких дисков, плоских дисплеев, печатных плат, дифракционных решеток и т.п. стало возможным благодаря применению технологии проекционной микролитографии (MJI). МЛ является в настоящее время основным технологическим процессом (ТП) в микроэлектронике, который определяет возможность формирования субмикронных топологических элементов, причем конкурирующие виды MJI с более высокой разрешающей способностью (рентгенолитография, электронно-лучевая литография и т.п.) все еще не обеспечивают возможности массового производства.

Сохраняющиеся тенденции последних 20 лет к уменьшению размеров элементов СБИС требуют решения задач повышения разрешения, ужесточения допусков на размеры и их воспроизводимость, а также точность совмещения топологических слоев.

В настоящее время передовыми производителями СБИС используются литографические установки с длиной волны источников излучения X = 365 нм, 248 нм и 193 нм. Основная особенность современных процессов МЛ заключается в том, что критические линейные размеры (КЛР) получаемых топологических элементов значительно меньше X. В таких ТП при экспонировании наблюдается сильное разрушение топологических элементов. Проблемы создания проекционных систем с X < 193 нм поставили под угрозу тенденцию дальнейшей миниатюризации. Поэтому особое значение приобрели конструкторско-технологические методы, позволяющие достигать лучшего разрешения за счет учета особенностей конкретного технологического оборудования, материалов и режимов обработки.

Состояние проблемы. Большой вклад в изучение процесса МЛ внесли фундаментальные работы У. Моро «Микролитография. Принципы, методы, материалы», Я. Таруи «Основы технологии СБИС» и К.А. Валиева и A.B. Ракова «Физические основы субмикронной литографии в микроэлектронике». Вопросы моделирования МЛ отражены в статьях и научных трудах Р. Дилла (R. Dill), К. Мака (С. Mack), Ю. Граника, Д. Левелина (J. Lewellen) и др.

В настоящее время в РФ созданы предпосылки для быстрого развития микроэлектронной промышленности. В ОАО «Микрон» подходит к завершению пуск производственной линии, которая обеспечит изготовление СБИС с проектными нормами 0,18 мкм. Группой предприятий «Ангстрем» заключен контракт на покупку оборудования с лицензией на выпуск СБИС по технологии 0,13 мкм. ФГУП НИИИС им. Ю.Е. Седакова ведутся работы по пуску и наладке оборудования, которое обеспечит выпуск СБИС по технологии 0,35 мкм.

В НИИ Системных Исследований РАН (НИИСИ РАН) функционирует технологическая линия изготовления СБИС субмикронного уровня (0,5 мкм и 0,35 мкм). В НИИСИ РАН используется проекционная установка «PAS5500/250C» фирмы «ASML» (Голландия) с 1 = 365 нм (степпер), обеспечивающая разрешение до 0,3 мкм. Разработка нового ТП изготовления^

СБИС с проектными нормами 0,25 мкм потребовала оптимизации процесса MJI и внедрения методов повышения разрешения (МПР) процесса MJI.

Цель работы заключается в повышении степени интеграции и процента выхода годных, а также расширении номенклатуры ТП на имеющемся оборудовании за счет разработки методологии проектирования и изготовления СБИС с размерами элементов меньшими длины волны экспонирующего излучения с применением проекционной литографии. Решаемые задачи:

1. Провести анализ современного состояния литографических процессов, применяемых оборудования и материалов, методов повышения разрешающей способности и средств моделирования проекционной литографии.

2. Проанализировать методики калибровки и откалибровать физическую модель процесса MJI (на примере слоя затворов) для используемого в НИИСИ РАН степпера и применяемых материалов.

3. С использованием разработанной модели провести оптимизацию технологических режимов процесса МЛ с целью повышения разрешения и стабильности воспроизведения номинальных размеров затворных структур.

4. Провести модельные исследования влияния оптического эффекта близости и методов его коррекции (ОРС, optical proximity correction).

5. Экспериментально подтвердить результаты моделирования на примере фоторезистивной маски (ФРМ) слоя затворов с KJIP < 0,25 мкм.

6. Разработать комплект фотошаблонов (ФШ) для калибровки и верификации полуэмпирических моделей (для критических слоев СБИС).

7. Разработать процедуру фильтрации экспериментальных данных, используемых при калибровке полуэмпирических моделей MJI и травления.

8. Разработать методику и провести калибровку полуэмпирических моделей для САПР «Calibre», используемых в процедуре коррекции топологии СБИС.

9. Разработать процедуры коррекции и верификации топологии функциональных блоков тестового кристалла СОЗУ и блоков характеризации ТП с минимальными размерами элементов 0,25 мкм.

10.Разработать методологию проектирования и технологию формирования критических слоев СБИС, выполненных по проектным нормам 0,25 мкм.

11.Экспериментально апробировать разработанные методы.

Методы исследования. Для оптимизации технологических режимов и разработки МПР применено моделирование процесса MJI. Для оценки качества процесса MJT и методов МПР использован критерий ширины процессных окон в пространстве «фокус - доза экспозиции». Работа типовых ячеек и функциональных блоков СБИС оценивалась по электрофизическим параметрам. При решении задач использованы теория оптимизации, теория вероятностей и математическая статистика.

Научная новизна работы: 1. Предложена методика калибровки физических моделей MJI, учитывающая особенности используемого технологического оборудования и отличающаяся методом экспериментального определения ключевых параметров модели резиста в условиях реального производства.

2. Разработаны рекомендации по повышению точности и стабильности полуэмпирических моделей МЛ и травления за счет изменения формы полинома, оптимизации значений собственного вектора и адаптивной фильтрации калибровочных тестовых структур (ТС) по предложенной формуле расчета значений их весовых коэффициентов (ВК), которая включает параметры пространственного изображения структуры и позволяет учесть возможность ее изготовления в ТП и достоверного измерения.

3. Разработана и внедрена методология проектирования и изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения.

Достоверность полученных научных результатов, выводов и рекомендаций диссертационной работы подтверждена результатами проведенных экспериментальных исследований и результатами внедрения разработанных методик и режимов работы технологического оборудования в НИР и ОКР, проводимых НИИСИ РАН.

Полученные результаты достоверно демонстрируют эффективность разработанного комплекса методов для решения задач повышения разрешения, расширения процессных окон, повышения стабильности процесса МЛ и воспроизводимости КЛР, как по пластине, так и в партии.

Основные положения, выносимые на защиту:

1. Предложенная методика установления параметров физических моделей позволяет установить значения ключевых параметров моделей на основании анализа колебательных кривых дозы полного вскрытия и зависимостей скоростей проявления резиста в условиях серийного полупроводникового производства. Малое количество требуемых для этого экспериментов и корректность методического аппарата позволяют проводить калибровку физических моделей МЛ в короткие сроки с ошибкой менее ±5,5% от КЛР.

2. Предложенная методика калибровки полуэмпирических моделей процессов МЛ и травления позволяет снизить уровень проникновения шумов ТП и измерений в процесс калибровки моделей, значительно сократить временные затраты на расчет В К ТС по предложенной автором формуле, которая включает параметры пространственного изображения ТС и критерии их экспертной оценки, а также не требует от разработчика моделей наличия знаний в непрофильных для него областях.

3. Внедрение разработанных МНР обеспечивает требуемую стабильность ТП (расширение процессного окна), улучшение переноса топологии сложных элементов и, как следствие, повышение процента выхода годных СБИС.

Практическая значимость и результаты внедрения. Разработанные в диссертации комплекс методов, алгоритмы и модели, реализующие МПР, внедрены в НИИСИ РАН. Это позволило перейти к меньшим проектным нормам при требуемой стабильности ТП (повысить глубину резкости (ГР) до 0,6-0,8 мкм при диапазоне дозы экспонирования ~ 8-10%), повысить быстродействие за счет уменьшения размеров (на 30%), улучшить массогабаритные параметры за счет повышения степени интеграции (на 40%). Универсальность разработанных методик и используемых моделей позволяет применять их на других предприятиях аналогичного профиля.

Полученные математические модели, методики и комплекс модельных исследований ТП внедрены в учебный процесс МГТУ им. Н.Э. Баумана.

Основной практической ценностью работы является снижение стоимости СБИС за счет увеличения процента выхода годных, вследствие повышения стабильности ТП литографии и воспроизводимости размеров элементов.

Апробация работы. Результаты работы докладывались на VIII и 1Х-Й молодежных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2006, 2007), X и Х1-Й молодежных международных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2008, 2009), опубликованы в журналах «Технология и конструирование в электронной аппаратуре» (Одесса, 2007, №3 и №4), «Вестник МГТУ» (Москва, 2010, спец. выпуск: Наноинженерия), «Микроэлектроника» (Москва, 2010, №5) и сборнике научных трудов «Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты» (Москва, 2009).

Работа отмечена дипломами 1 степени молодежных научно-технических конференций «Наукоемкие технологии и интеллектуальные системы», (Москва, 2007 и 2008), стипендиями Правительства Москвы и Клуба Императорского Технического Училища.

Публикации. По материалам и основному содержанию работы опубликованы 13 научных работ в научно-технических журналах и трудах конференций, из них 2 научные работы опубликованы в рецензируемых изданиях, рекомендованных ВАК.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, общих выводов и списка литературы. Материалы диссертации изложены на 165 страницах, включая 146 страниц машинописного текста, 89 рисунков, список литературы из 93 наименований.

СОДЕРЖАНИЕ И РЕЗУЛЬТАТЫ ДИССЕРТАЦИИ

Во введении обоснована актуальность решения поставленных в диссертации задач, сформулирована цель и задачи исследования, обоснована научная новизна, показано место ТП литографии в технологическом маршруте производства КМОП СБИС и роль технологических САПР.

В первой главе проведен анализ современного состояния и тенденций развития процессов МЛ, а также физических ограничений проекционной оптики при использовании бинарных ФШ. В соответствии критерием Рэлея (1) разрешение (К) определяется дифракционным пределом:

л^^^бов-Мнм] О)

лл 0,6

где КА - числовая апертура, а коэффициент К] определяется уровнем технологии. Для высокоточных проекционных систем значение К1 = 0,5. Это дает аппаратное разрешение используемого степпера - 300 нм. Применение МПР позволяет снизить значение К1 (рис. 1).

1 - тип освещения

2 - тип ФШ

3 - тип ОРС

4 •- рвЗИСТЫ

требуемый уровень

предлагаемая технология

О 5

Рис. ]. Методы повышения разрешающей способности литографии

При использовании степпера РА85500/250С, требуемое разрешение 0,25 мкм достигается при К] = 0,4 (рис. 1). Стоимость изготовления полутоновых ФСШ в разы превышает стоимость бинарных ФШ, поэтому было предложено альтернативное решение (рис. 1), т.е. упор был сделан на разработку методов ОРС на основе моделей, оптимизацию толщины резиста и параметров кольцевого освещения.

При использовании объектива с большей числовой апертурой существенно уменьшается ГР, которая обратно пропорциональна квадрату апертуры:

±365 К,

ГР = - г-

- = 507 - К2 [нм]

(2)

2-ЫА' 20,6

где К2 - коэффициент (К2>1). Исходя из необходимости обеспечения стабильности ИТ МЛ при известных допусках на разброс сопутствующих технологических параметров (неравномерность нанесения, неплоскостность и т.д.) рекомендуемая для 0,25 мкм технологии ГР составляет 0,6-0,8 мкм.

Применение МПР позволяет улучшить значения коэффициентов К] и К2. В диссертации рассмотрены современные МПР:

1. Применение внеосевого освещения позволяет повысить 11 и ГР за счет изменения формы источника освещения.

Световая волна, проходя через ФШ, дифрагирует с образованием четных и нечетных порядков. В случае топологии с равными по ширине линиями и зазорами образуются только нечетные порядки (рис. 2). При уменьшении периода, угол В растет, и меньшее количество дифракционных порядков попадает во входную апертуру. Качественное изображение определяется интерференцией 3-х лучей - 0-го и ±1-х (рис. 2, слева), 0-й порядок содержит информацию об амплитуде интенсивности, а ±1 - о ее пространственном распределении. ГР сильно зависит от значения разности световых путей лучей 0-го и ±1-го порядков.

Рис. 2. Сравнение типов источников освещения

В случае кольцевого освещения (рис. 2, справа) волна падает на ФШ под углом. Положение 0-го порядка смещается, а во входную апертуру попадают 0-й и -1-й порядки падающего под углом потока справа, и 0-й и +1-Й слева. В этом случае наблюдается интерференция 2-х лучей 0-го и -1-го или 0-го и +1-го порядков, в результате улучшается К (вместо угла В имеем В/2) и ГР (световые пути лучей 0 и ±1 порядков практически равны).

Конструкцией степпера РА85500/250С предусмотрено круговое или кольцевое освещение. Для выполнения поставленных задач было использовано кольцевое освещение, параметры которого были оптимизированы в ходе работы.

2. Применение фазосдвигагощих шаблонов. В бинарных шаблонах дифракция света на элементах, расположенных на расстояниях порядка А-вХ, приводит к разрушению топологических элементов. При уменьшении КЛР этот эффект значительно усиливается. В работе рассмотрены ФСШ двух типов: полутоновые и чередующиеся. Отмечено, что из-за крайне сложной технологии производства и проблем с дефектностью чередующиеся ФСШ практически не применяются.

3. Метод двойного впечатывания. Метод подразумевает разделение топологии критических слоев СБИС на два шаблона для поочередного впечатывания, с целью снижения влияния деструктивной дифракции (групповые структуры впечатываются через одну). Применение двойного впечатывания позволяет существенно улучшить разрешение проекционной МЛ.

4. Применение иммерсионных жидкостей. Использование иммерсионных жидкостей вместо воздушной среды между объективом установки экспонирования и кремневой пластиной позволяет получить значения NA > 1 за счет увеличения угла полного отражения на границе раздела сред «объектив - воздушный зазор». Благодаря иммерсии предел разрешения МЛ был отодвинут в нанометровый диапазон.

5. ОРС. Метод заключается в коррекции топологии СБИС на стадии проектирования ФШ либо по правилам расстановки фигур ОРС, либо

итеративно на основании результатов литографического моделирования. В первом случае количество правил зависит от типа СБИС (память, логика и т.п.) количества типов фигур ОРС, их параметров, применяемого оборудования и т.д.

Коррекция на основе моделей - более сложный метод, в котором результат литографического моделирования (2 Б контур резиста или травления) итеративно сравнивается с исходной топологией, и, в случае превышения заданных допусков, топология корректируется в местах несоответствий (рис. 3). Его применение позволяет существенно расширить возможности процесса МЛ, однако является сложным в использовании, требующим наличия специальных дорогостоящий САПР и вычислительных кластерных комплексов.

Исходная Результат Разбиение Коррекция на Результат типология моделирования на фрагменты основе модели моделирования

Рис. 3. ОРС коррекция на основе моделей

Солидную часть стоимости производства кристаллов СБИС составляет стоимость комплекта ФШ. В диссертации разработаны технические требования к ФШ для изготовления СБИС с проектными нормами 0,25 мкм и компоновочное решение для комплекта ФШ по критерию минимизации стоимости. В завершении первой главы рассмотрены критерии оценки качества процесса проекционной МЛ.

Во второй главе рассмотрены математические модели и алгоритмы оптимизации процессов МЛ, особенности построения и типовая структура современных САПР МЛ. Проведен анализ и классификация САПР МЛ. Приведены аналитические зависимости и сравнительный анализ методов Аббе и Хопкинса для описания высокоапертурных проекционных систем.

САПР моделирования процесса МЛ по решаемым задачам можно разделить на две группы. В первой группе САПР применяются физические модели, во второй - «быстрые» полуэмпирические модели.

САПР первой группы используются для исследований процесса МЛ. В них применяются сеточные методы 3D моделирования, которые требуют большого количества оперативной памяти, что накладывает ограничение на размеры области моделирования. САПР второй группы применяются для обработки всей топологии кристалла СБИС, они позволяют промоделировать «отклик» одного режима ТП на различные топологии.

В работе применены обе группы САПР, в качестве первой был использован литографический симулятор «ProLith» компании «KLA-Tencor» (США), второй - САПР «Calibre» компании «Mentor Graphics».

Рассмотрены математические модели поглощения света (модель «ABC» Р. Дилла) и теоретические основы кинетики экспонирования резиста.

Рассмотрены модели проявления Дилла, Мака, Кима и «Notch». В третьей главе проведено их исследование. Основной выбрана «Notch» модель.

Рассмотрены полуэмпирические модели с переменным порогом чувствительности процессов MJI и травления, алгоритм их калибровки по экспериментальным данным, критерии достаточности калибровочных данных для обеспечения стабильности и точности моделирования.

Процесс «переноса» топологии ФШ в реальную структуру на пластине можно разделить на три части. Первая - перенос топологии ФШ в распределение интенсивности света в области пластины (пространственное изображение), т.е. оптическая составляющая, которая описывается точно методом Хопкинса. Вторая - поглощение света резистом и его проявление. Третья - травление функционального слоя по полученной ФРМ. Резистивные эффекты для ускорения расчетов описывают математически - степенным полиномом, слагаемыми которого являются реальные физические величины.

В третьей главе проведено исследование предельных возможностей проекционной МЛ с X = 365 нм для получения поликремневых затворных структур с KJIP = 0,25 мкм. Материал главы разделен на две части: 1) разработка модели МЛ, с помощью которой оптимизированы технологические режимы и параметры фигур ОРС; 2) подтверждающие эксперименты.

Разработка модели процесса литографии. Разработана методика определения параметров модели резистов в условиях реального производства (объем выборки > 100 пластин). При нахождении значений и Rmin исследованы три способа подачи проявителя на пластину: ручной, полуавтоматический, автоматический, что особенно важно при определении Rmax, т.к. время проявления резиста Ultra-i 123 составило < 4 секунд.

Для определения коэффициента С модели Дилла, который оказывает наибольшее влияние на процесс экспонирования, и уточнения коэффициента преломления резиста была использована методика калибровки по экспериментальным колебательным кривым дозы полного вскрытия Е0.

В процессе калибровки модели были также установлены: параметры резиста и объектива степпера, коэффициент соответствия дозы, нулевое положение фокуса (зависит от процедуры аттестации степпера), доля полной дозы, приходящаяся на ненаправленную засветку резиста, параметры термообработок, значения аберраций объектива и ряд других параметров.

При верификации полученной модели среднеквадратичное отклонение ошибки моделирования для 60 тестовых структур 6 типов (одиночные (групповые) шины (зазоры), окончания шин и т.п.) составило < ±13,5 нм.

Исследование и оптимизация процесса МЛ с X = 365 нм для получения затворов СБИС с размерами элементов < 0,25 мкм. Разработанная ранее модель была использована для оптимизации режимов процесса МЛ и МПР, после чего для экспериментальной апробации был спроектирован тестовый ФШ.

Для оптимизации толщины резиста с точки зрения воспроизводимости КЛР и уменьшения амплитуды стоячих волн промоделирована зависимость отражательной способности резиста от его толщины, что в условиях реального

производства возможно только средствами моделирования. В результате выбраны 4 значения толщины в точках максимумов и минимумов отражения.

Проведены модельные исследования влияния параметров кольцевого освещения на ФРМ затворов с размерами 0,24 мкм (рис. 4). Построение подобных экспериментальных зависимостей потребовало бы > 1500 пластин, 10000-12000 часов загрузки технологического и измерительного оборудования.

(0.14 ОЗй мкм)

о.ч 0,8? в,8

ti.ii-'

......;i!iie;jtt Ш*»-

^ИиИЙШв

зоны

отт&г> TT-HOfTii

Рис. 4. Оптимизация параметров кольцевого источника (ось X - внутренний радиус кольцевого источника (стш), Y - внешний радиус (о0ит))

По результатам моделирования определены оптимальные параметры кольцевого освещения (по критерию ширины процессных окон одиночных и групповых элементов) для случаев использования фигур ОРС и без них. Цветом на рисунке 4 показано изменение размера шины по ФРМ.

Для выбранных толщин резиста и параметров кольцевого освещения построены зависимости получаемого профиля резиста от дозы и фокуса. По результатам моделирования выбрана оптимальная толщина резиста.

Проведенная оптимизация позволила повысить разрешение процесса МЛ до 0,25 мкм. Однако было доказано, что требуемая точность переноса топологии СБИС может быть обеспечена только при условии применения ОРС. На рисунке 5 приведен пример оптимизации параметров фигур ОРС типа «serif» по критерию минимизации сокращения окончания шины (цветом показано изменение величины зазора между окончаниями шин, КЛР = 0,24 мкм).

Ж "ri •ттшттиш.........

оез коррекции

«serif»

^ Зона оптимальности

-№ -40 У 20 40 т

"йьшос", ш

с коррекцией

J:

Рис. 5. Результаты оптимизации параметров ОРС фигур типа «serif»

Также выявлено, что при снижении шага групповых структур до 0,48-0,5 мкм, их размеры, получаемые на пластине, отличаются на 20% от размеров одиночных структур, которые имели такой же номинальный размер на ФШ. Для обеспечения работы в едином технологическом режиме и существенного расширения процессных окон, проведена модельная оптимизация параметров фигур ОРС типа «вспомогательные рассеивающие структуры».

Для экспериментальной апробации был спроектирован тестовый ФШ, в основу которого были заложены результаты моделирования. На ФШ были размещены 4 модуля (9,7x9,7 мм) с различным шагом групповых структур: 0,5 мкм, 0,48 мкм, 0,46 мкм и 0,44 мкм. В каждом модуле были размещены ТС для оптимизации ТП и подбора параметров фигур ОРС различных типов.

Подтверждающие эксперименты. При попытке получить ТС в стандартном процессе наблюдалась непроработка КЛР, существенная деструкция ФРМ и т.д. Применение технологических режимов, найденных по результатам моделирования, позволило добиться требуемого разрешения. На рисунке 6 приведены $ЕМ-снимки характерных ТС (предельных с точки зрения дифракции), по которым можно судить о разрешении процесса МЛ (од^^^и^^^^щповые шины, окончания шин

Рис. 6. Применение разработанных технологических режимов

Результаты моделирования согласуются с экспериментом с ошибкой менее ±10%. Экспериментально получен качественный профиль резиста для групповых шин 0,24/0,48 мкм (ГР = 0,6 мкм), но одиночная шина (рис. 6, слева) получена при значении дозы на 20-30% меньше. Наблюдается сильная деструкция крайней в группе шины. Также наблюдается существенное сокращение шин со стороны свободного края на величину -0,13 мкм (рис. 6, справа) и уменьшение номинального размера шины на «вылете» до 0,21 мкм.

На рисунке 7 представлены результаты применения всех типов фигур ОРС. Одиночная шина номинальным размером 0,24 мкм (слева) и групповые шины номинальным размером 0,24/0,48 мкм (посередине) получены в едином режиме экспонирования/проявления (ГР > 0,8 мкм). Необходимо отметить,

что крайняя в группе шина имеет размер, лежащий в заданном допуске.

............

И ;

Рис. 7. Результаты применения фигур ОРС

Справа приведены скорректированные окончания одиночных шин, которые также удовлетворяют заданным требованиям, исключая возможность сокращения длины канала МОП транзистора.

Показано, что применение комплекса МПР, позволило эффективно решить проблемы, возникшие при изготовлении поликремниевых затворов с размерами элементов < 0,25 мкм с использованием проекционной MJI с X = 365 нм.

Четвертая глава посвящена разработке и внедрению методов ОРС в процесс проектирования ФШ с размерами элементов < X. Все примеры приведены для наиболее критичного слоя поликремния.

Внедрение разработанного в третьей главе комплекса МПР в процесс проектирования ФШ, когда количество элементов в слое исчисляется десятками миллионов, связано с рядом противоречивых проблем, которые были решены в данной главе. Главу можно разделить на две независимые части:

1. Калибровка и верификация моделей МЛ и травления для критических слоев СБИС, обеспечивающих требуемую точность, стабильность и быстродействие (обработка больших массивов топологических примитивов);

2. Разработка алгоритмов ОРС на основе моделей и на основе правил для критических слоев СБИС и их верификации после введения ОРС;

В результате анализа САПР, способных решать поставленные задачи, были отобраны три САПР ведущих мировых лидеров. В ходе пробной эксплуатации по критериям точности, быстродействия, стабильности, времени пуско-наладочных работ и т.п. была выбрана платформа «Calibre», которая практически является мировым стандартом САПР в области верификации топологии и введения ОРС.

Для разработки моделей были спроектированы 2 комплекта тестовых ФШ. На первом комплекте были размещены калибровочные и верификационные блоки. Основной идеей калибровочного блока является создание ограниченного набора ТС с характерными измеряемыми КЛР, по которому можно сгенерировать модель ТП заданной точности и стабильности.

Проведен анализ достаточности калибровочных данных для обеспечения требуемого уровня точности и стабильности для моделирования рабочих топологий СБИС (методом покрытия пространства оптических параметров). По результатам анализа калибровочная площадка была дополнена.

Второй комплект ФШ был использован для проверки и уточнения разработанных на первом этапе моделей и алгоритмов ОРС. На втором комплекте ФШ были размещены ТС для верификации разработанной процедуры ОРС, два блока статического оперативного запоминающего устройства (СОЗУ) с ОРС и без, а также блоки транзисторов различных типов и размеров с разводкой под электрофизические измерения с ОРС и без.

ТС первого комплекта ФШ были изготовлены по экспериментальному ТП. При разработке модели для слоя поликремния были использованы более 20000 измерений, выполненных на сканирующем электронном микроскопе «NanoSEM3D» компании «Applied Materials». Дня повышения истинности измерений, они проводились в 7 различных кристаллах пластины. Расположение кристаллов на пластине и количество пластин для каждого

технологического режима было выбрано исходя из соображений повышения качества статистической обработки результатов измерений. Для повышения точности модели за счет снижения уровня шумов ТП и измерений, проникающих в процесс калибровки, каждой ТС был присвоен весовой коэффициент. Исследованы 3 способа расчета ВК, в том числе в соответствии с предложенной в диссертации весовой функцией:

W = f(CD,Iyjx,IUM,SLOPE,KU,KS) (5)

где tMAXi Imin и SLOPE - максимальная, минимальная интенсивности и градиент пространственного изображения соответственно, Км и Ks -коэффициенты, учитывающие тип ТС, возможность их достоверного измерения и результаты статистической обработки полученных измерений. В работе предложены значения Км и Ks для всех типоразмеров ТС. Проведено исследование и разработана методика калибровки оптической модели (14 различных подходов, отличающиеся методом расчета ВК, составом оптимизируемых параметров, составом калибровочных и оценочных ТС). Проведено исследование влияния применяемого метода оптимизации параметров оптической модели на ее точность и стабильность.

Проведено исследование и разработана методика калибровки моделей MJT и травления. Исследованы два подхода, когда процессы MJI и травления описываются 2-мя различными полиномами, которые калибруются независимо и одним общим полиномом, т.е. менее обоснованная с точки зрения физики модель. Проведено исследование влияния формы и параметров модели, используемых кернфункций, значения собственного вектора, способа расчета ВК на точность и стабильность процессных моделей (более 100 различных подходов).

«ъ

* 10

с-Г

Ь О

А f-ч' Он

10

ГТ ;>. "s i..............fc " ;........J ""' «• 1 * \ * « ? * Ф * 4t

i * f f\ v i и • « * ** О * I % .................. . 1 &

<>Зпо:щ>иыс ткышс f liinn'npbT P >:<> <■>:<** " (■>■/.<•, fo+<!> j fe* ■t

К п .» ® 1« »8 «Г 15»

„ „ . Калибровочные ТС до типам

Рис. 8. Распределение ОРГ при калибровке

На рисунке 8 приведен пример анализа результатов калибровки процессной модели (после травления) - распределение абсолютной ошибки моделирования расположения границы топологической структуры (ОРГ). ОРГ для 97% ТС < ±10 нм, что соответствует ±4% от КНР, а для одномерных ТС < ±6 нм. Взвешенная среднеквадратичная ошибка ОРГ при калибровке составила 2,51 нм. Увеличение ОРГ на двумерных ТС вызвано снижением их

воспроизводимости, т.к. они подвержены серьезной деструкции в процессе МЛ, когда их КЛР лежат на границе разрешения. В результате деструкции

Рис. 9. SEM снимки структур типа «окончания зазоров» (слева) и «окончания

шин» (справа)

Верификация модели была проведена в три этапа: сравнение результатов моделирования с экспериментальными данными для ТС, параметры которых лежат за пределами пространства параметров калибровочной площадки (рис. 10); верификация модели по сложным двумерным ТС и верификация по «узким местам» топологий рабочих проектов СБИС.

ОРГ одномерных ТС верификационной площадки составила < ±6 нм. Среднеквадратичная ОРГ при верификации составила 4,74 нм. Последние две группы ТС с заметно большей ОРГ (хотя она и лежит в заданном допуске ±20 нм) - это ТС типа «окончаний шин» с минимально допустимыми КЛР.

Верификационные ТС по типам J

Wt№ ptcti fiten ftUT, риф mvflltll rr.TKV.ri «ew* lr»Kißv"v(le(f Kwr

r—'—r—г—т— <—t > i ■' t—J " 1 ' i :•>: i—"—v- 'v' v—* 'i ..i'-rr'.1

о ?; sc j: j: ss ec fr: 9Э ido ш

Рис. 10. Распределение ОРГ при верификации

Выявление лучшей модели по значениям среднеквадратичной ошибки не всегда является корректным. При использовании полуэмпирических моделей, калибруемых по ограниченному набору ТС, минимальная ошибка может достигаться при различных сочетаниях калибруемых параметров, ряд из которых может оказаться физических необоснованными. Поэтому были проанализированы получаемые зависимости КЛР для всех типов ТС (рис. 11).

Абсолютная ошибка также не всегда информативна, т.к. ее значение в 5 нм для структуры с КЛР = 250 нм является существенным отклонением, а для структуры с КЛР = 500 нм оказывается на уровне «шума» ТП или измерений.

На рисунке 11 представлен график (левый), на котором для каждой ТС по X отложен результат моделирования, а по Г - результат ее измерения. Идеальная зависимость представляет собой прямую под углом 45°. Приведен

пример зависимости КЛР шины (справа) номинальным размером 0,24 мкм при изменении шага. Черным пунктиром показан размер ТС на ФШ. Для всех моделей рассмотрены зависимости с КЛР = 0,23, 0,24, 0,25, 0,27, 0,28, 0,36, 0,37, 0,38 мкм и шагом групповых структур от 0,6 до 1,8 мкм.

. ЛН'/КДЕ.

(nqniMt'in

г ю»о| ï

I

МО ;

K. IP (эксп.). нм

мм ' jîW

S :?о f

i

i

24Й

Шаг между ТС. нм 1000 150i)

Рис. 11. Пример анализа зависимостей КЛР

Проведена верификация моделей по сложным двумерным ТС (рис. 12), предложен метод экстракции контуров ТС, установлено соответствие алгоритмов измерения САПР «Calibre» и используемого микроскопа. Для процедуры были отсняты 23 ТС. Средняя ошибка моделирования, которая оценивалась с шагом 10 нм (18611 точек), составила 9,7 нм.

Топология

$£М-синмок

Модель

| | Л ^

ИД

I | \ / А

I | / _____. - |

и 1 (I N г" У и

Рис. 12. Пример верификации по сложному топологическому контуру

Проведен сравнительный анализ разработанных моделей по результатам калибровки, всех этапов верификации и зависимостей КЛР. В результате анализа выявлена лучшая модель. Причем ВК ТС этой модели были рассчитаны по предложенной в работе формуле.

В результате проведенного анализа современных МПР, ситуации на рынке полупроводниковых материалов и оснастки, возможностей имеющегося в НИИСИ РАН технологического оборудования и программно-вычислительного комплекса, был предложен комплекс конструкторско-технологических методов, обеспечивающих достижение проектных норм 0,25 мкм (рис. 1).

Предложенный метод коррекции топологии кристаллов является комбинированным: сначала топология обрабатывается «вспомогательными

рассеивающими структурами», после чего критические места корректируются на основе моделей (рис. 13). Для решения поставленных задач такой подход является наиболее целесообразным, как по быстродействию, так и по точности.

ОРС ' |]

«о-—л

Рис. 13. Фрагменты топологии СОЗУ до, после коррекции и травленые ТС

Эти результаты были заложены во второй комплект ФШ, для которого были разработаны технические требования и компоновочное решение, существенно отличающиеся от предложенного решения для ФШ без фигур ОРС.

Применение разработанного комплекса методов позволило существенно улучшить качество переноса топологии элементов СБИС с проектными -1).

Рис. 14. Фрагменты топологии «Н-транзистора» и травленые ТС с ОРС и без

Затворы нескорректированных «Н-транзисторов» (слева) имеют переменную ширину, а номинальный размер в узком месте (0,29 мкм) превышает номинальный (0,24 мкм), тогда как скорректированные имеют расхождение с исходной топологией менее 8 нм (оценка проведена более чем в 500 точках).

В общих выводах сформулированы основные результаты, полученные в диссертационной работе.

ОСНОВНЫЕ РЕЗУЛЬТАТЫ РАБОТЫ

1. Состав комплекса МПР рекомендуется формировать исходя из состава и возможностей технологического оборудования, используемых материалов, имеющегося научного потенциала в области моделирования МЛ и мощности доступного вычислительного комплекса.

2. Калибровка физических моделей МЛ в производственных условиях должна базироваться на экспериментальном определении ее параметров экспонирования по колебательным кривым дозы полного вскрытия, параметров

проявления с использованием автоматического режима подачи проявителя, что позволяет снизить ошибку моделирования до уровня < ±5,5% от KJIP.

3. Экспериментально доказано, что расширение процессных окон (на 65% по ГР и на 73% по диапазону дозы) и повышение точности «переноса» топологии поликремниевых затворов СБИС с размерами < 0,25 мкм средствами проекционной MJI сХ = 365 нм, могут быть достигнуты внедрением МПР при оптимизации процесса МЛ посредством физического моделирования.

4. Предложена формула расчета ВК ТС калибровочных данных, которая включает параметры пространственного изображения ТС и критерии их экспертной оценки, позволяющая повысить точность и возможности по предсказанию полуэмпирических моделей, что особенно важно при коррекции критических слоев СБИС с размерами элементов меньше X.

5. Разработана методика калибровки полуэмпирических моделей для критических слоев 0,25 мкм СБИС (поликремний, контактные окна и первый уровень металлизации), что позволило снизить ошибку моделирования по результатам калибровки и 3-х этапов верификации до уровня < ±5% от КЛР.

6. Разработана процедура коррекции и верификации топологии блоков характеризации ТП и кристалла СОЗУ, выполненных по 0,25 мкм правилам проектирования НИИСИ РАН, что позволило повысить стабильность ТП, точность «переноса» топологии сложных транзисторных структур и процент выхода годных кристаллов СОЗУ на 9 - 12%.

СПИСОК РАБОТ, ОПУБЛИКОВАННЫХ ПО ТЕМЕ ДИССЕРТАЦИИ:

1. Родионов И.А. Фотолитография в ультрафиолетовом диапазоне длин волн // Наукоемкие технологии и интеллектуальные системы 2006: Сборник трудов 8-ой Всероссийской научно-технической конференции. М. 2006. С. 127-135.

2. Родионов И.А. Методы коррекции оптических эффектов близости // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 174-178.

3. Родионов И.А. Анализ динамики развития современного оборудования проекционной литографии // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 210-216.

4. Родионов И.А. Исследование влияния параметров технологического процесса литографии на минимальные критические размеры элементов, получаемых на кремниевой пластине // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 219-224.

5. Родионов И.А., Макарчук В.В. Коррекция оптических эффектов близости при проектировании микросхем // Технология и конструирование в электронной аппаратуре. 2007. №3. С. 30-32.

6. Глушко A.A., Родионов И.А., Макарчук B.B. Моделирование технологии изготовления субмикронных КМОП СБИС с помощью систем TCAD // Технология и конструирование в электронной аппаратуре. 2007. №4. С.32-34.

7. Родионов И.А. Исследование процесса распределения температуры на поверхности кремниевой пластины в процессе формирования фоторезистивной пленки // Наукоемкие технологии и интеллектуальные системы 2008: Сборник трудов 10-ой Международной научно-технической конференции. М. 2008. С. 108-114.

8. Родионов И.А. Исследование влияния введения ОРС фигур на параметры полупроводниковых структур с размерами элементов 0,25 мкм // Наукоемкие технологии и интеллектуальные системы 2008: Сборник трудов 10-ой Международной научно-технической конференции. М. 2008. С. 115-120.

9. Родионов И.А. Проектирование СБИС с учетом возможностей технологического процесса // Наукоемкие технологии и интеллектуальные системы 2009: Сборник трудов 11-ой Международной научно-технической конференции. М. 2009. С. 135-137.

10. Особенности топологического проектирования субмикронных КМОП СБИС с учетом литографических ограничений / И.А. Родионов [и др.] // Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты: Сб. науч. тр. НИИСИРАН. М.: РАН, 2009. С. 24-31.

11 .Оптимизация плотности заполнения топологии слоев СБИС, направленная на повышение стабильности технологического процесса химико-механической планаризации / И.А. Родионов [и др.] // Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты: Сб. науч. тр. НИИСИ РАН. М.: РАН, 2009. С. 50-55.

12.Родионов И.А., Шахнов В.А. Метод расчета значений весовых коэффициентов топологических структур для калибровки литографических моделей // Вестник МГТУ им. Н.Э.Баумана. Секция: Приборостроение. 2010. Спец. выпуск: Наноинженерия. С. 150-160.

13.Исследование методов калибровки процессных ОРС моделей VT-5 с переменным порогом чувствительности / И.А. Родионов [и др.] // Микроэлектроника. 2010. Том 39, №5. С. 1-13.

Подписано к печати 12.07.2010. Заказ № 434 Объем 1,0 печ.л. Тираж 100 экз. Типография МГТУ им. Н.Э. Баумана 105005, Москва, 2-я Бауманская ул., д.5 (499) 263-62-01

Оглавление автор диссертации — кандидата технических наук Родионов, Илья Анатольевич

Введение

Глава 1. Современное состояние и тенденции развития проекционной литографии при изготовлении КМОП СБИС с размерами элементов, меньшими длины волны экспонирующего излучения.

1.1. Анализ физических ограничений проекционной оптики при использовании бинарных промежуточных шаблонов.

1.2. Обзор методов повышения разрешающей способности процесса проекционной литографии.

1.2.1. Использование внеосевого освещения при экспонировании резиста.

1.2.2. Особенности разработки и применения промежуточных шаблонов с фазовым сдвигом.

1.2.3. Двойное впечатывание: варианты маршрутного технологического процесса, технические и технологические ограничения.

1.2.4. Применение иммерсионных материалов для повышения разрешающей способности литографического процесса.'.

1.2.5. Применение методов коррекции оптического эффекта близости. шаблонам.

1.3.2. Анализ требований к операциям контроля промежуточных шаблонов на стадии их изготовления.

1.3.3. Разработка компоновочного решения для комплекта промежуточных шаблонов по критерию минимизации стоимости с учетом особенностей используемого технологического оборудования.

1.4. Критерии оценки качества процесса проекционной литографии.

Глава 2. Сравнительный анализ математических моделей и алгоритмов оптимизации технологических операций процесса проекционной литографии.

2.1. Особенности и классификация современных литографических САПР.

2.2. Исследование и сравнительный анализ математических моделей высокоапертурных проекционных систем.

2.2.1. Математическая модель Аббе для высокоапертурных проекционных систем.

2.2.2. Математическая модель Хопкинса для высокоапертурных проекционных систем.

2.3. Математические модели поглощения света и проявления позитивных резистов.

2.3.1. Последовательность моделирования экспонирования резиста.

2.3.2. - Теоретические основы кинетики экспонирования резиста: модель Дилла.

2.3.3. Математические модели проявления резиста: модели Дилла, Мака, Кима и «Notch» модель.

2.4. Полуэмпирические математические модели процессов литографии и травления.

2.4.1. Математическое описание литографических систем с использованием полиномов на примере модели «VT5» компании «Mentor Graphics».

2.4.2. Критерии достаточности калибровочных экспериментальных данных для обеспечения стабильности и точности моделирования топологического слоя.

Глава 3. Исследование предельных возможностей проекционной i-line литографии для получения поликремневых затворных структур КМОП СБИС с минимальными размерами 0,25 мкм и менее.

3.1. Экспериментальное определение параметров модели блока операций литографии.

3.1.1. Экспериментальное определение параметров экспонирования резиста Ultra-i 123-03 5.

3.1.2. Экспериментальное определение параметров проявления резиста Ultra-i 123-035.

3.2. Исследование и оптимизация параметров процесса i-line литографии при получении поликремневых затворных структур с размерами элементов 0,25 мкм и менее.

3.2.1. Оптимизация параметров стека по колебательным кривым.

3.2.2. Исследование влияния параметров внеосевого освещения на тестовые затворные структуры с размерами элементов 0,25 мкм и менее

3.2.3. Исследование влияния типа и параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры.

3.3. Разработка тестового шаблона отработки технологических режимов и исследования влияния параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры КМОП СБИС с минимальными размерами 0,25 мкм и менее.

3.3.1. Разработка состава тестовых структур и общей компоновки тестового ФШ.

3.3.2. Разработка технических требований к фигурам контроля литографического процесса для технологического маршрута 0,25 мкм с одним уровнем поликремния.

3.4. Экспериментальное исследование процесса i-line литографии при получении поликремневых затворных структур с размерами элементов 0,25 мкм и менее.

3.4.1. Исследование влияния параметров внеосевого освещения на тестовые затворные структуры с размерами элементов 0,25 мкм и менее

3.4.2. Исследование влияния типа и параметров фигур коррекции оптического эффекта близости на поликремневые затворные структуры.

Анализ результатов и выводы.

Глава 4. Разработка и внедрение методов коррекции оптического эффекта близости в процесс проектирования промежуточных шаблонов с размерами элементов менее длины волны экспонирующего излучения проекционной установки.

4.1. Разработка тестового шаблона, предназначенного для калибровки и верификации моделей литографии и травления критических слоев СБИС.

4.1.1. Разработка калибровочного и верификационного тестовых блоков

4.1.2. Оценка достаточности входных калибровочных данных для обеспечения требуемого уровня точности моделирования и возможности предсказания результатов технологического процесса для рассматриваемой топологии кристалла.

4.2. Калибровка и верификация «VT5» моделей литографии и травления.

4.2.1. Разработка процедуры определения весовых коэффициентов экспериментальных данных, используемых при разработке моделей литографии и травления.•.

4.2.2. Методика и результаты калибровки оптической модели для слоя поликремниевых затворов, выполненного по проектным нормам 0,25 мкм.

4.2.3. Методика и результаты калибровки модели «УТ-5» для слоя поликремниевых затворов, выполненного по проектным нормам 0,25 мкм

4.3. Верификация «VT5» моделей литографии и травления по сложным топологическим структурам.

4.4. Анализ результатов калибровки и верификации моделей.

4.5. Экспериментальная апробация разработанных методов на примере блока СОЗУ.

Анализ результатов и выводы.

Введение 2010 год, диссертация по приборостроению, метрологии и информационно-измерительным приборам и системам, Родионов, Илья Анатольевич

Начиная с 70-х годов, развитие и удешевление технологии микроэлектроники позволило расширить область ее использования от дорогих военных применений до научной продукции и товаров массового потребления (рис. 1).

Стоимость конечной продукции микроэлектроники (в млрд. долларов) f f # f f f f f- S # £ S S S S S / ^ / f / / / / f f f

Рис. I. Темпы развития рынка микроэлектроники Массовое производство изделий микроэлектроники стало возможным благодаря применению технологии проекционной литографии. Область применения литографических процессов крайне широка, и охватывает такие области промышленности, как: производство сверх больших интегральных микросхем различного назначения, светодиодов высокой яркости, жестких дисков большого объема, КМОП сенсоров (датчиков) изображения, плоских дисплеев, микро электро-механических систем (МЭМС), различных медицинских применений и многого другого (рис. 2).

Полупроводниковые устройства

Нанотехнодоиш (>П1,\]ноды выс око[1 iij'j. ион (LED)

Множество Друга*, применении КМОП датчика «юорчл-свня (CLS) Плоекне дкп,ии МЭМС га «в

Мгдкцинл

Рис. 2. Основные направления применения литографических процессов

Проекционная литография (далее литография) является на сегодняшний день основополагающим технологическим процессом (далее ТП) в микроэлектронике, который определяет возможность формирования топологических элементов с нанометровыми размерами при производстве СБИС. Литография представляет собой один из наиболее сложных и дорогостоящих ТП в микроэлектронном производстве, как по применяемым инструментальным методам, так и по технологическим приемам. Необходимо отметить, что на современном уровне развития техники и технологии, конкурирующие виды литографических процессов (рентгенолитография, электронно-лучевая литография и т.п.) с более высокой разрешающей способностью не обеспечивают возможности массового производства СБИС.

Сохраняющиеся на протяжении последних 20 лет тенденции к уменьшению размеров элементов СБИС ставят перед производителями все новые задачи, как по совершенствованию литографического оборудования и самого процесса литографии, так и по внедрению новых подходов в проектировании топологий СБИС. Целями этих задач являются повышение разрешения, ужесточение требований к допускам на размеры, их равномерности и воспроизводимости, а также точности совмещения различных топологических слоев. Однако в середине 90-х годов произошло принципиальное изменение технологии проекционной литографии, когда размеры элементов СБИС стали меньше длины волны экспонирующего излучения (рис, 3).

I I I I i п I

1980 1985 1390 1995 2000 2005 2010

Рис. 3. Развитие технологии проекционной литографии

Этот переход потребовал разработки новых материалов, технологического оборудования, а также принципиально иных подходов практически ко всем операциям литографического процесса. Проблемы создания проекционных систем с источниками излучения с длиной волны меньше 193 нм поставили под угрозу тенденцию к миниатюризации размеров элементов СБИС. Поэтому особое значение приобрели конструкторско-технологические методы, позволяющие достигать разрешения выше классических значений реллеевской оптики за счет учета особенностей конкретного технологического оборудования, применяемых материалов и режимов обработки.

В настоящее время передовыми производителями СБИС используются литографические установки с X равными 365 нм, 248 нм и 193 нм. В классическом случае применения проекционной оптики минимальные размеры (далее CD, Critical Dimension) элементов СБИС составляют не менее 90% от А. Попытка дальнейшего уменьшения размеров элементов приводит к их деструкции при экспонировании в- результате негативного влияния явлений дифракции и интерференции. Для решения этих проблем могут быть использованы методы повышения разрешения. Внедрение этих методов требует применения сложных САПР для моделирования процессов литографии и травления, а также обработки больших массивов данных по заданным правилам.

К методам повышения разрешающей способности процесса литографии относят: использование внеосевого освещения и источников сложной формы; применение фазосдвигающих промежуточных шаблонов; применение фазовых фильтров; иммерсионную литографию; методы двойной литографии/травления; применение метода коррекции оптического эффекта близости.

Внедрение всех вышеперечисленных методов, кроме последнего, возможно только при наличии дорогостоящего импортного технологического оборудования и специалистов (технологов, конструкторов, наладчиков) высокого уровня, имеющих опыт работы с самыми передовыми технологиями.

В настоящее время в России созданы предпосылки для быстрого развития микроэлектронной промышленности. В ОАО «Микрон» закончен монтаж технологической линии, которая обеспечит производство СБИС по технологии 0,18 мкм. Группой предприятий «Ангстрем» заключен контракт на покупку оборудования с лицензией на выпуск микросхем с проектными нормами 0,13 мкм. ФГУП «Пульсар» начаты работы по установке оборудования, которое обеспечит выпуск СБИС с проектными нормами 0,35 мкм.

В Научно-Исследовательском Институте Системных Исследований Российской Академии Наук (НИИСИ РАН) функционирует технологическая линия изготовления КМОП СБИС субмикронного уровня (0,5 мкм и 0,35 мкм). В НИИСИ РАН используется проекционная литографическая установка «PAS5500/250C» фирмы «ASML» (Голландия) с длиной волны источника излучения 365 нм (i-line) (далее степпер), обеспечивающая разрешение до 0,3 мкм. Разработка нового ТП изготовления СБИС с проектными нормами 0,25 мкм потребовала оптимизации процесса литографии, а- также применения методов повышения разрешающей способности литографии (далее RET, Resolution Enhancement Techniques).

Необходимо отметить, что разработанные в-диссертации методы могут быть применены для самых современных ТП. А используемые САПР и типы процессных моделей используются мировыми производителями при проектировании СБИС с проектными нормами 65 и 45 нм. Также, при изготовлении КМОП СБИС по проектным нормам 65 нм для получения верхних слоев металлизации, для удешевления производства, на передовых полупроводниковых фабриках используется «i-line» литография. Поэтому выполненная научно-исследовательская работа актуальна и обеспечит непосредственную практическую ценность.

Цель работы заключается в повышении степени интеграции и процента выхода годных, а также расширении номенклатуры ТП на имеющемся оборудовании за счет разработки методологии проектирования и изготовления

СБИС с размерами элементов меньшими длины волны экспонирующего излучения с применением проекционной литографии. Решаемые задачи:

1. Провести анализ современного состояния литографических процессов, применяемых оборудования и материалов, методов повышения разрешающей способности и средств моделирования проекционной литографии.

2. Проанализировать методики калибровки и откалибровать физическую модель процесса MJI (на примере слоя затворов) для используемого в НИИСИ РАН степпера и применяемых материалов.

3. С использованием разработанной модели провести оптимизацию технологических режимов процесса MJI с целью повышения разрешения и стабильности воспроизведения номинальных размеров затворных структур.

4. Провести модельные исследования влияния оптического эффекта близости и методов его коррекции (ОРС, optical proximity correction).

5. Экспериментально подтвердить результаты моделирования на примере фоторезистивной маски (ФРМ) слоя затворов с KJIP < 0,25 мкм.

6. Разработать комплект фотошаблонов (ФШ) для калибровки и верификации полуэмпирических моделей (для критических слоев СБИС).

7. Разработать процедуру фильтрации экспериментальных данных, используемых при калибровке полуэмпирических моделей MJI и травления.

8. Разработать методику и провести калибровку полуэмпирических моделей для САПР «Calibre», используемых в процедуре коррекции топологии СБИС.

9. Разработать процедуры коррекции и верификации топологии функциональных блоков тестового кристалла СОЗУ и блоков характеризации ТП с минимальными размерами элементов 0,25 мкм.

10.Разработать методологию проектирования и технологию формирования критических слоев СБИС, выполненных по проектным нормам 0,25 мкм. L

11.Экспериментально апробировать разработанные методы. Методы исследования. Для оптимизации технологических режимов и разработки МПР применено моделирование процесса MJI (САПР «ProLith» и «Calibre»). Для оценки качества процесса MJT и методов МПР использован критерий ширины процессных окон в пространстве «фокус - доза экспозиции». Работа типовых ячеек и функциональных блоков СБИС оценивалась по электрофизическим параметрам. При решении задач использованы теория оптимизации, теория вероятностей и математическая статистика. Научная новизна работы:

1. Предложена методика калибровки физических моделей MJT, учитывающая особенности используемого технологического оборудования и отличающаяся методом экспериментального определения ключевых параметров модели резиста в условиях реального производства.

2. Разработаны рекомендации по повышению точности и стабильности полуэмпирических моделей MJI и травления за счет изменения формы полинома, оптимизации значений собственного вектора и адаптивной фильтрации калибровочных тестовых структур (ТС) по предложенной формуле расчета значений их весовых . коэффициентов (ВК), которая включает параметры пространственного изображения структуры и позволяет учесть возможность ее изготовления в ТП и достоверного измерения.

3. Разработана и внедрена методология проектирования и изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения.

Достоверность полученных научных результатов, выводов и рекомендаций диссертационной работы подтверждена результатами проведенных экспериментальных исследований и результатами внедрения разработанных методик и режимов работы технологического оборудования в НИР и ОКР, проводимых НИИСИ РАН в рамках государственных заказов.

Полученные результаты достоверно демонстрируют эффективность разработанного комплекса методов для решения задач повышения разрешения, расширения процессных окон, повышения стабильности процесса MJT и воспроизводимости КЛР, как по пластине, так и в партии.

Основные положения, выносимые на защиту:

1. Предложенная методика установления параметров физических моделей позволяет установить значения ключевых параметров моделей на основании анализа колебательных кривых дозы полного вскрытия и зависимостей скоростей проявления резиста в условиях серийного полупроводникового производства. Малое количество требуемых для этого экспериментов и корректность методического аппарата позволяют проводить калибровку физических моделей MJI в короткие сроки с ошибкой менее ±5,5% от KJIP.

2. Предложенная методика калибровки полуэмпирических моделей процессов MJI и травления позволяет снизить уровень проникновения шумов ТП и измерений в процесс калибровки моделей, значительно сократить временные затраты на расчет ВК ТС по предложенной автором формуле, которая включает параметры пространственного изображения ТС и критерии их экспертной оценки, а также не требует от разработчика моделей наличия знаний в непрофильных для него областях.

3. Внедрение разработанных МГТР обеспечивает-требуемую стабильность ТП (расширение процессного окна), улучшение переноса топологии сложных элементов и, как следствие, повышение процента выхода годных СБИС.

Практическая значимость и результаты внедрения. Разработанные в диссертации комплекс методов, алгоритмы и модели, реализующие МПР, внедрены в НИИСИ РАН. Это позволило перейти к меньшим проектным нормам при требуемой стабильности ТП (повысить глубину резкости (ГР) до 0,6-0,8 мкм при диапазоне дозы экспонирования ~ 8-10%), повысить быстродействие за счет уменьшения размеров (на 30%), улучшить массогабаритные параметры за счет повышения степени интеграции (на 40%). Универсальность разработанных методик и используемых моделей позволяет применять их на других предприятиях аналогичного профиля.

Полученные математические модели, методики и комплекс модельных исследований ТП внедрены в учебный процесс МГТУ им. Н.Э. Баумана.

Основной практической ценностью работы является снижение стоимости СБИС за счет увеличения процента выхода годных, вследствие повышения стабильности ТП литографии и воспроизводимости размеров элементов.

Апробация работы. Результаты работы докладывались на VIII и IX-й молодежных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2006, 2007), X и XI-й молодежных международных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2008, 2009), Х-м научно-практическом семинаре «Проблемы создания специализированных радиационно-стойких СБИС на основе гетероструктур» (Нижний Новгород, 2010), опубликованы в журналах «Технология и конструирование в электронной аппаратуре» (Одесса, 2007, №3 и №4), «Вестник МГТУ» (Москва, 2010, №4), «Микроэлектроника» (Москва, 2010, №5) и сборнике научных трудов «Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты» (Москва,-2009).

Работа .отмечена дипломами 1 степени молодежных научно-технических конференций «Наукоемкие технологии и интеллектуальные системы», (Москва, 2007 и 2008), стипендиями Правительства Москвы и Клуба Императорского Технического Училища.

Публикации. По материалам и основному содержанию работы опубликованы 13 научных работ в научно-технических журналах и трудах конференций, из них 2 научные работы опубликованы в рецензируемых изданиях, рекомендованных ВАК.

Структура и объем работы. Диссертационная работа состоит из введения, четырех глав, общих выводов и списка литературы. Материалы диссертации изложены на 165 страницах, включая 146 страниц машинописного текста, 89 рисунков, список литературы из 93 наименований.

Заключение диссертация на тему "Разработка литографических процессов изготовления СБИС с размерами элементов меньше длины волны экспонирующего излучения"

Результаты работы докладывались на VIII-й и IX-й молодежных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2006, 2007), Харьковской нанотехнологической Ассамблее-2007 (Харьков, 2007), Х-й и XI-й молодежных международных научно-технических конференциях «Наукоемкие технологии и интеллектуальные системы», (Москва, 2008, 2009), Х-м научно-практическом семинаре «Проблемы создания специализированных радиационно-стойких СБИС на основе гетероструктур» (Нижний Новгород, 2010), опубликованы в журналах «Технология и конструирование в электронной аппаратуре» (Одесса, 2007, №3 и №4), «Вестник МГТУ» (Москва, 2010), «Микроэлектроника» (Москва, 2010) и сборнике научных трудов «Математическое и компьютерное моделирование систем: теоретические и прикладные аспекты» (Москва, 2009).

Работа отмечена дипломами 1 степени молодежных научно-технических конференций «Наукоемкие технологии и интеллектуальные системы», (Москва, 2007 и 2008), стипендиями Правительства Москвы и Клуба Императорского Технического Училища.

По материалам и основному содержанию работы опубликованы 13 научных работ в научно-технических журналах и трудах конференций, из них 2 научные работы опубликованы в рецензируемых изданиях, рекомендованных ВАК.

В первой главе проведен анализ современного состояния и тенденций развития проекционной литографии при изготовлении СБИС с размерами элементов, меньшими X. По результатам анализа, проведенного в первой главе, ситуации на рынке полупроводниковых материалов и оснастки, возможностей имеющегося в НИИСИ РАН технологического оборудования и программно-вычислительного комплекса, предложен комплекс методов RET, обеспечивающих достижение проектных норм 0,25 мкм и менее.

Во второй главе проведен сравнительный анализ литографических САПР-ов и математических моделей, а таюке алгоритмов их калибровки. В результате выбраны программное обеспечение, математический аппарат и методики его применения в условиях реального производства НИИСИ РАН.

В третьей главе проведено исследование предельных возможностей «i-line» литографии на примере элементов СБИС с минимальными размерами 0,25 мкм и менее. Проведен сравнительный анализ современных методов RET и обоснована эффективность их применения при производстве КМОП СБИС с субмикронными размерами элементов. Для резиста Ultra-i 123-03 5 разработана модель процесса литографии с использованием степпера PAS5500/250C фирмы «ASML». Определены ключевые параметры резиста и получены модели ТП, которые удовлетворяют необходимым точностным требованиям. Экспериментально установлены параметры модели экспонирования и проявления резиста Ultra-il23-035 (Rmin = 0,075 нм/сек; Rmax = 120,46 нм/сек; п = 5,5; RI = 1,684 и т.д.) и на их основе осуществлена калибровка модели. Рассчитаны теоретически и подтверждены экспериментально оптимальные параметры оптической системы степпера PAS5500/250C. На основе разработанной мод ел и. определены типы фигур ОРС и диапазон изменения их параметров. Разработан тестовый шаблон, используемый в качестве инструмента для отработки технологических режимов литографии и исследования влияния типов и параметров фигур ОРС на форму и размеры поликремневых затворных структур КМОП СБИС с минимальными размерами 0,25 мкм и менее. Разработана программа управления работой степпера PAS5500/250C с тестовым шаблоном. Экспериментально подтверждено теоретическое предположение об оптимальности рекомендованных параметров внеосевого освещения (ain = 0,319 и oout = 0,55). Полученные экспериментальные зависимости доказали возможность проработки групповых структур с соотношением шина/зазор равным 0,24/0,24 мкм и шагом 0,48 мкм, соответственно. Экспериментально подтверждена высокая эффективность введения фигур ОРС и определены их оптимальные параметры. Теоретически обоснована и экспериментально подтверждена возможность использования проекционной литографии с длиной волны источника излучения Х=365 нм для производства КМОП СБИС с проектными нормами 0,25 мкм.

В четвертой главе приведены результаты разработки и внедрения методов ОРС в процесс проектирования ФШ с размерами элементов меньшими X. Разработаны два комплекта тестовых ФШ, предназначенных для калибровки и верификации моделей литографии и травления критических слоев КМОП СБИС, включая оценку достаточности входных калибровочных данных для обеспечения требуемого уровня точности моделирования и возможности предсказания результатов технологического процесса для рассматриваемой рабочей топологии кристалла СБИС. Разработана процедура определения ВК калибровочных данных, используемых при разработке моделей. Предложена формула расчета ВК, позволяющая повысить точность получаемых моделей за счет снижения уровня шумов ТП и измерений, проникающих в процесс калибровки. Разработана методика и проведен сравнительный анализ 14 методов -калибровки параметров оптической модели, на основании которого сформулированы, рекомендации по разработке векторных оптических моделей высокоапертурных проекционных систем. Эффективность предложенной методики подтверждена -экспериментальными результатами. Предложена методика и получены результаты калибровки модели «VT-5» для слоя поликремниевых затворов, выполненного по проектным нормам 0,25 мкм. Проведено исследование двух принципиально различных подходов к разработке моделей литографии и травления с использованием 3-х форм полиномов. Разработаны и исследованы 9 моделей литографии, 15 моделей литографии и травления «litho+etch» и 6 моделей литографии и травления «etch». Проведен анализ зависимостей критических размеров при калибровке и верификации для всех разработанных моделей. Предложены и проведены 3 стадии верификация разработанных «VT5» моделей литографии и травления, включая верификацию по сложным топологическим структурам. Проведен сравнительный анализ результатов калибровки и 3-х стадий верификации

VT5» моделей литографии и травления, на основании которого определена лучшая по сформулированным критериям оценки модель. Проведена экспериментальная апробация разработанных методов на примере блока СОЗУ емкостью 16К. По результатам анализа сформулированы рекомендации по разработке процессных моделей для критических слоев КМОП СБИС.

Разработанные в диссертации технологические режимы, приемы проектирования и литографические модели внедрены на полупроводниковом производстве НИИСИ РАН (г. Москва). Полученные в работе математические модели, методы и алгоритмы, а также разработанный комплекс экспериментальных модельных исследований ТП внедрены в учебный процесс МГТУ им. Н.Э. Баумана на кафедре ИУ4 («Проектирование и технология производства электронно-вычислительных и телекоммуникационных систем»). Анализ результатов внедрения показал высокую эффективность разработанных средств.

Разработанный в диссертации комплекс методов, а также разработанные алгоритмы и модели, реализующие, методики RET, позволяют осуществить переход к меньшим проектным нормам при условии обеспечения требуемой стабильности процесса литографии (процессного окна с глубиной фокусировки ~ 0,6-0,8 мкм при фиксированном значении диапазона дозы экспонирования ~ 8-10%), повысить быстродействие за счет уменьшения размеров получаемых элементов (около 30%), улучшить массогабаритные параметры СБИС за счет повышения плотности упаковки топологических элементов (до 40%). Универсальность разработанных методик и используемых моделей позволяет применять их на других предприятиях аналогичного профиля.

Основной практической ценностью диссертационной работы является снижение стоимости КМОП СБИС за счет увеличения процента выхода годных кристаллов (от 9 до 12%), вследствие повышения стабильности ТП литографии (процессное окно для слоя «POLY» расширено на 65% по фокусу и 73% по дозе) и воспроизводимости получаемых размеров.

Библиография Родионов, Илья Анатольевич, диссертация по теме Технология приборостроения

1. Моро У. Микролитография. Принципы, методы, материалы, В 2-х т.: Пер. с англ. М.: Мир, 1990. 4.1. 605 е., ил.

2. Валиев К.А. Физика субмикронной литографии. М.: Наука, 1990. 527 с.

3. Основы субмикронной технологии: Учеб. пособие / В.А. Жабрев, В.И. Марголин, В.А. Мошников. СПб.: Изд-во СПбГЭТУ «ЛЭТИ», 2001. 128 с.

4. Лыньков Л.М., С. Л. Прищепа. Субмикронная литография / Белорус, гос. унт информатики и радиоэлектроники, Минск: 1999. 210 с.

5. Баканов Г.Ф., Петрова Г.В. Фотолитография / СПб.: Изд-во СПбГЭТУ «ЛЭТИ», 2002. 35 с.

6. Маркосов М.С. EUVL, или литография по-ультрафиолетовски // Окно в микромир. Спб. 2002. Том 2, №1 (5). С. 30-36.

7. Родионов И.А. Фотолитография в ультрафиолетовом диапазоне длин волн // Наукоемкие технологии и интеллектуальные системы 2006: Сборник трудов 8-ой Всероссийской научно-технической конференции. М. 2006. С. 127-135.

8. Родионов И.А. Анализ динамики развития современного оборудования проекционной литографии // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 210-216.

9. CPL Technology // www.asml.com. URL. http://www.asml.com/asml/show.do?cbc=6843&rid=6847). (дата обращения 12.02.2010).

10. Ham Y. Why EAPSM? // Photronics Technology Review. 2003. Vol. 1, Is. 4. January. 14 p.1.. Kasprowicz B. Alternating Aperture Phase Shift Mask (AAPSM) // Technology Review. Vol. 1, Is. 5. 2003. February. 12 p.

11. An Integrated Phase-shifting Software Solution for 1С Design to Manufacturing / H. Liu, et al. // Optical Microlithography XIII, 2000. 1354. P.1379-1382.

12. Full Chip Mask Synthesis. www.synopsys.com. URL. http://www.synopsys.com/Tools/Manufacturing/MaskSynthesis/Pages/Proteus.aspx.дата обращения 05.11.2009).

13. Weed Т., L. Karklin Dark-Field Double Exposure PSM Technique: A Manufacturable Approach to Phase Shifting // SEMI Technology Symposium. 2002. P. 345-361.

14. Kling M. Practicing Extension of 248 DUV Optical Lithography Using Trim-Mask PSM/ M. Kling et al. // SPIE Proc. 3679-01. 1999. P. 10-17.

15. Hand A. Double Patterning Wrings More From Immersion Lithography // Semiconductor International. 2007. January. 17 p.

16. Родионов И.А. Методы коррекции оптических эффектов близости // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 174-178.

17. Pierre S. Optical Proximity Correction (ОРС) // Technology Review. 2003. Vol. 1, Is. 7. 21 p.

18. Stirniman J.P., Rieger M.L. Fast proximity correction with zone sampling // Proc. SPIE Microlithography. 1994. 2197. P. 294-301.

19. Is Model-based Optical Proximity Correction Ready for Manufacturing? Study on 0.12 um and 0.175 um DRAM Technology / Y. Cui, et al. // Proc. SPIE Microlithography. 2002. 4691. P. 67-75.

20. Hybrid OPC methodology and implementation to the correction of etch proximity / C.-H. Park, et al. // Proc. SPIE Microlithography. 2002. 4691. P. 369-376.

21. Родионов И.А., Макарчук B.B. Коррекция оптических эффектов близости при проектировании микросхем // Технология и конструирование в электронной аппаратуре. 2007. №3. С. 30-32.

22. Bossung J.W. Projection Printing Characterization // In Proc. SPIE Developments in Semiconductor Microlithography II. 1977. Vol. 100. P. 80-84.

23. Optimizing i-line lithography for 0.3-um poly-gate manufacturing / Finders J. et al. // Solid State Technology. 1997. March. 8 p.

24. Mack C. A. Swing curves and process window // Microlithography World. 1998. Winter. 12 p.

25. Yiang Y., Serafinowicz R. Swing curve simulations to simplify process optimization // Microlithography World. 1998. Winter. 9 p.

26. Sheats J. R., Smith B.W. Microlithography: science and technology // Marcel Dekker, Inc. 1998. Vol. 3. 864 p.

27. Глушко A.A., Родионов И.А., Макарчук B.B. Моделирование технологии изготовления субмикронных КМОП СБИС с помощью систем TCAD // Технология и конструирование в электронной аппаратуре. 2007. №4. С.32-34.

28. Родионов И.А. Проектирование СБИС с учетом возможностей технологического процесса // Наукоемкие технологии и интеллектуальные системы 2009: Сборник трудов 11-ой Международной научно-технической конференции. М. 2009.-С. 135-137.

29. Sawicki J.D. DFM: What is it and what will it do? // Mentor Graphics. 2004. April. 6 p.

30. Материалы сайта Mentor Graphics// URL. www.mentor.com. (дата обращения 20.01.2008).

31. Born M., Wolf. E. Principles of Optics: 6th ed. / Pergamon Press. Oxford, United Kingdom. 1993. 986 p.

32. Hopkins H.H. The Concept of Partial Coherence in Optics // Proc.Royal Soc.London. 1951. A208. P. 263-277.

33. Hopkins H.H. On the Diffraction Theory of Optical Images // Proc.Royal Soc.London. 1953. A217. P. 408-432.

34. Hopkins H.H. The Frequency Response of a Defocused Optical System // Proc.Royal Soc.London. 1955. A231. P. 91-103.

35. Toh K.H., Neureuther A.R. Identifying and Monitoring Effects of Lens Aberrations in Projection Printing // Optical Microlithography VI, Proc. SPIE. 1987.1. Vol. 722. P. 202-209.

36. Mack C. A. Designing the ultimate photoresist // OCG Microlithography Seminar. Interface '93. 1993. P. 175-191.

37. Mack C.A., Connors J.E. Fundamental differences between positive and negative tone image // Optical Laser Microlithography V, Proc., SPIE. 1992. Vol. 1674. P. 328-338.

38. Mack C.A. Optimization of spatial properties of illumination // Optical Laser Microlithography VI, Proc., SPIE. 1993. Vol. 1927. P. 125-136.

39. Babu S.V., Barouch E. Exact solutions of Dill's Model Equations for positive photoresist kinetics // IEEE Electron device lett. 1986. Vol. EDL-7, No. 4. P. 252-253.

40. Mack C.A. Dispelling the Myths about dyed photoresist // Solid State Technology. 1988. Vol. 31, No. 1. P. 125-130.

41. Mack C.A. A New Kinetic Model to Describe Photoresist Development // Journal of the Electrochemical Society. 1992. Vol. 139, No.4. P. L35-L37.

42. Dammel R. Diazonaphthoquinone-Based Resists // SPIE Optical Engineering Press. 1993. 203 p.

43. Mack C. A. A Comprehensive Optical Lithography Model // Optical Microlithography IV, SPIE. 1985. Vol. 538. P. 207-220.

44. Graham A., Brian M., Mack C. A. Enhancing the Development Rate Model For Optimum Simulation // Advances in Resist Technology and Processing XIV, SPIE. 1996. Vol. 3049. P. 189-200.

45. Dammel R. R. Theoretical Basis For A New Development Rate Model For Positive Photoresists // Journal of Photopolymer Science and Technology. 1997. Vol. 10, No. 3. P. 379-386.

46. Pistor T.V. A new Photoresist Simulator from Panoramic Technology//URL. www.panoramictech.com (дата обращения 10.06.2007).

47. Comparison of Simulation Approaches for Chemically Amplified Resists / A. Erdmann et al. // Proc. SPIE. 2001. Vol. 4404. P. 99-110.

48. Calibration of Chemically Amplified Resist Models / J. Byers et al. // Proc. SPIE. 1996. Vol. 2724. P. 156-162.

49. Modeling the impact of thermal history during post exposure bake on the lithographic performance of chemically amplified resists / B. Smith et al. // Proc. SPIE. 2001. Vol. 4345. P. 1013-1021.

50. Cobb N., Zakhor A. A mathematical and CAD framework for proximity correction// SPIE. 1996. Vol. 2726. P. 208-222.

51. Experimental Results on Optical Proximity Correction with Variable Threshold Resist Model / N. Cobb et al. // Optical Microlithography X, The International Society for Optical Engineering, SPIE. 1997. Vol. 3051. P. 458-468.

52. Granik Y., Cobb N., Do T. Universal Process Models with VTRE for OPC // Proceedings of the 2002 SPIE Symposium on Optical Microlithography XV. 2002. Vol. 4691. P. 377-394.

53. Randall J., Gangala H., Trichkov A. Lithography Simulation with Aerial Image -Variable Threshold Resist Model // Microelectronic Engineering. 1999. Vol. 46. P. 59-63.

54. Granik Y. Correction for etch proximity: new models and applications // SPIE. 2001. Vol. 4346. P. 98-112.

55. E. W. Conrad Model considerations, calibration issues, and metrology methods for resist-bias models // www.bu.edu. URL. http://www.bu.edu/simulation/publications/barouch/publications.html (дата обращения 17.09.2008).

56. Characterization of Optical Proximity Matching for 130nm Node Gate Line Width / S. Zheng, et al. // SPIE. 2003. P. 894-905.

57. Improved Modeling Performance with an Adapted Vectorial Formulation of the Hopkins Imaging Equation / K. Adam, et al. // SPIE. 2003. P. 110-126.

58. Wong A. Resolution Enhancement Techniques in Optical Lithography // SPIE Press. 2001.234 р.

59. Impact on OPC treatment accuracy due to illumination pupil shape deviation for110.nm target CD / S. Roy, at al. // SPIE. 2002. Vol. 4689. P. 876-883.

60. Scattered light: the increasing problem for 193-nm exposure tools and beyond / K. Lai, et al. // SPIE. 2001. 4346. P. 1424-1435.

61. Adam K., Neureuther A. Algorithmic implementations of domain decomposition methods for the diffraction simulation of advanced photomasks // SPIE. 2002. 4691. P. 107-124.

62. Granik Y. Dry etch proximity modeling in mask fabrication // Photomask Japan. 2003. P. 86-91.

63. Stirniman J., Rieger M. Spatial-filter models to describe lithographic behavior // SPIE. 1997. 3051. P. 469-478.

64. Assessment of different simplified resist models / Fuard D., at al. // SPIE. 2002. 4691. P. 1266-1277.

65. Dolansky C., Maurer W., Waas T. Evaluation of resist models for fast optical proximity correction // SPIE. 1998. 3236. P. 202-207.

66. VT5 and TCCcalc Model Calibration / Torres J., at al. // Mentor Graphics Corporation. Application Note 0342. 2001. P 137-151.

67. Full-chip Process Simulation for Silicon DRC / Sahouria E., at al. // Modeling and Simulation of Microsystems. 2000. P. 32-35.

68. Fahey K.P. Methods for Measurement of Development Parameters in the Manufacturing Line for Use in Photolithography Modeling // IEEE Transactions on Semiconductor. 1996. Vol. 9, No.2. P. 182-190.

69. Родионов И.А. Анализ динамики развития современного оборудования проекционной литографии // Наукоемкие технологии и интеллектуальные системы 2007: Сборник трудов 9-ой Всероссийской научно-технической конференции. М. 2007. С. 210-216.

70. Thermal Properties of Positive Photoresist and their Relationship to VLSI Processing / J. M. Koyler, et al:// Kodak Microelectronics Seminar Interface '79. 1979. P. 150-165.

71. Hansen S. G., Wang R.H. Using Computational Experiments and Statistics to Discover Useful Predictors of Lithographic Performance // Electrochemical Society.1993. Vol. 140, No. 1. P. 166-177.

72. Characterization of Positive Photoresist / Dill F. H., et al. // IEEE Transaction on Electron Devices. 1975. ED-22, No. 4. P. 445-452.

73. Skoog D. A., West D. M. Fundamentals of Analytical Chemistry // 3rd edition, Holt, Rinehart, and Winston. New York. 1976. P. 509-510.

74. Shaw J. M., Frisch M. A., Dill F. H. Thermal Analysis of Positive Photoresist Films by Mass Spectrometry // IBM Jour. Res. Dev. 1977. Vol 21. P. 219-226.

75. Mack C. A. Absorption and Exposure in Positive Photoresist // Applied Optics. 1988. Vol. 27, No. 23. P. 4913-4919.

76. Resist Metrology for Lithography Simulation, Part 2: Development Parameter Measurements / A. Sekiguchi, et. al. // Metrology, Inspection, and Process Control for Microlithography X, SPIE. 1996. Vol. 2725. 15 p.

77. Thornton S. H., Mack C. A. Lithography Model Tuning: Matching Simulation to Experiment // Optical Microlithography IX, SPIE. 1996. Vol. 2726.14 p.

78. A Practical Method of Extracting the Photoresist Exposure Parameters by Using a Dose-to-Clear Swing Curve / Kim H.-H., et. al. // Journal of the Korean Physical Society. 2003. Vol. 42. P. S280-S284.

79. Thornton S. H., Mack C. A. Lithography Model Tuning: Matching Simulation to Experiment, part II // Optical Microlithography IX, SPIE. 1996. Vol. 2732. 7 p.

80. Родионов И.А. Исследование влияния введения ОРС фигур на параметры полупроводниковых структур с размерами элементов 0,25 мкм // Наукоемкиетехнологии и интеллектуальные системы 2008: Сборник трудов 10-ой

81. Международной научно-технической конференции. М. 2008. С. 115-120.

82. МОП СБИС. Моделирование элементов и технологических процессов / П. Антонетти и др.: Пер. с англ. М.: Радио и связь. 1988. 496 с.

83. Chemical Mechanical Planarization of Microelectronic Materials / Steigerwald J. M., et.al. // Weinheim: WILEY-VCH Verlag GmbH & Co. KGaA. 2004. 337 p.

84. Veendrick Ir. H.J.M. Deep-Submicron CMOS ICs, Second Edition / Kluwer academic publishers. 2000. 576 p.

85. Родионов И.А., Шахнов В.А. Метод расчета значений весовых коэффициентов топологических структур для калибровки литографических моделей // Вестник МГТУ им. Н.Э.Баумана. Секция: Приборостроение. 2010. Спец. выпуск: Наноинженерия. С. 150-160.

86. Granik Y., Medvedev D., Cobb N. Towards standard process models for OPC // Proc. SPIE. 2007. 6520. P. 43.

87. Wang C.-H. Taking image quality factor into the OPC model tuning flow // Mentor Graphics Corp (China). URL. www.mentor.com. дата обращения 26.11.2009.

88. Исследование методов калибровки процессных ОРС моделей VT-5 с переменным порогом чувствительности / И.А. Родионов и др. //Микроэлектроника. 2010. Том 39, №5. С. 1-13.

89. Hung С., Wang С., Liu Q. A methodology to calibrate line-end gauge position for better modeling performance // 50th Optical Microlithography XVIII, SPIE. 2005. Vol. 5754. P. 1138-1146.

90. A methodology to take LER effect into OPC modeling algorithm / Hung C., et.al. //SPIE. 2006. 6154. 8 p.

91. Зав. кафедрой «Проектирование и технология производства электронной аппаратуры»,лектронроячлен-корр. РАН, проф. (Шахнов В.А.)

92. Доцент кафедры «Проектирование и технология производства электронной аппаратуры»,к.т.н. (Макарчук В.В.)

93. Доцент кафедры «Проектирование и технология производства электронной аппаратуры »,к.т.н. —"Т7-(Власов А.И.)/?• » О Jo. 2010 г.1. УТВЕРЖДАЮ»

94. НИИСИРАН "/?/ "/^^ВшшшнЬ ЕЛ.1. V • if О Л /ff С Ж «Л^-С^.У3;-?. ' Ti 2010 г.

95. УЧРЕЖДЕНИЕ РОССИЙСКОЙ АКАДЕМИИ НАУК НАУЧНО-ИССЛЕДОВАТЕЛЬСКИЙ ИНСТИТУТ СИСТЕМНЫХ ИССЛЕДОВАНИЙ РАН (НИИСИ РАН)