автореферат диссертации по электронике, 05.27.06, диссертация на тему:Микропрофилирование композиции "SiC-AlN" методом реактивного ионно-плазменного травления

кандидата технических наук
Лютецкая, Ирина Геннадиевна
город
Санкт-Петербург
год
1999
специальность ВАК РФ
05.27.06
цена
450 рублей
Диссертация по электронике на тему «Микропрофилирование композиции "SiC-AlN" методом реактивного ионно-плазменного травления»

Оглавление автор диссертации — кандидата технических наук Лютецкая, Ирина Геннадиевна

ВВЕДЕНИЕ

Глава I СОВРЕМЕННОЕ СОСТОЯНИЕ ПРОБЛЕМЫ ТЕХНОЛОГИИ МИКРОПРОФИЛИРОВАНИЯ КАРБИДА КРЕМНИЯ И НИТРИДА АЛЮМИНИЯ

1.1. Жидкостное химическое травление широкозонных материалов

1.1.1. Жидкостное химическое травление карбида кремния (БЮ)

1.1.2. Жидкостное химическое травление нитрида алюминия (АШ)

1.2. Технология высокотемпературного газового и сублимационного травления

1.3. Корпускулярно-лучевое травление

1.3.1. Методы корпускулярно-лучевого скрайбирования

1.3.2. Плазменные технологии "сухого" травления

1.3.3. Анализ технологических факторов при реактивном ионноплазменном травлении (РИПТ) SiC и A1N

ВЫВОДЫ ПО ГЛАВЕ

Глава II АППАРАТУРНО-МЕТОДИЧЕСКОЕ ОБЕСПЕЧЕНИЕ

ПРОЦЕССОВ ВЧ МАГНЕТРОННОГО РЕАКТИВНОГО ИОННО-ПЛАЗМЕННОГО

ТРАВЛЕНИЯ КОМПОЗИЦИИ "SiC-AIN"

2.1. Оборудование ВЧ магнетронного РИПТ

2.2. Методы контроля процесса травления

2.2.1. Метод лазерного интерферометрического контроля глубины и скорости травления

2.2.1.1. Контроль глубины рельефа при травлении многослойных систем

2.2.1.2. Контроль глубины рельефа по дифракционной картине в отраженном свете ■

2.2.1.3. Погрешность метода

2.2.2. Метод контроля состава газовой среды 2.3. Методы контроля поверхности

2.3.1. Структурный анализ поверхности

2.3.2. Анализ химического состава поверхности ВЫВОДЫ ПО ГЛАВЕ

Глава III ИССЛЕДОВАНИЕ СЕЛЕКТИВНОСТИ И МЕХАНИЗМА

ТРАВЛЕНИЯ КОМПОЗИЦИИ "SiC-AlN"

3.1. Объекты исследования, диапазоны исследуемых параметров процесса

3.2. РИПТ химически- и термоустойчивых материалов

3.2.1. Особенности РИПТ SiC во фтор- и фтор-хлорсодержащих средах

3.2.2. Особенности РИПТ A1N во фтор- и фтор-хлорсодержащих средах

3.3. Обобщенная схема РИПТ SiC и A1N в галогенсодержащих средах

3.4. Математическое описание зависимости скорости травления SiC и A1N от входных параметров процесса РИПТ

3.5. Зависимость селективности травления от физико-химических свойств материала и среды

3.5.1. Зависимость избирательности травления композиции "SiC-AlN" от состава среды

3.5.2. Зависимость селективности травления от физико-химических свойств и структурного состояния материала

3.5.3. Избирательность травления SiC и A1N по отношению к маскирующему материалу■

3.6. Анализ поверхности после обработки в реакционно-активной плазме ВЫВОДЫ ПО ГЛАВЕ

Глава IV ПРИМЕНЕНИЕ РАЗРАБОТАННОЙ ТЕХНОЛОГИИ

МИКРОПРОФИЛИРОВАНИЯ КОМПОЗИЦИИ "SiC-AlN" ПРИ СОЗДАНИИ ПРИБОРНЫХ СТРУКТУР

4.1. Применение технологии РИПТ композиции "SiC-AlN" для создания емкостного акселерометра

4.2. Применение технологии РИПТ композиции "SiC-AlN" для создания вибрационного микрогироскопа

4.3. Применение технологии РИПТ композиции "SiC-AlN" для создания тензорезистивных датчиков давления и акселерометров

4.4. Перспективы применения "сухого" глубинного травления Si при * производстве микромеханических структур

ВЫВОДЫ ПО ГЛАВЕ

Введение 1999 год, диссертация по электронике, Лютецкая, Ирина Геннадиевна

Достигнутые в последнее десятилетие успехи в технологии выращивания и t обработки карбида кремния (SiC), а также ряд физико-химических свойств этого материала [1-4] закономерно выводят его на одно из ведущих мест в электронном приборостроении. Наряду с оптоэлектроникой, приборы на основе SiC все чаще находят применение в сверхвысокочастотной (СВЧ) и силовой электронике. Активно развивается и "экстремальная" электроника, объединяющая приборы, предназначенные для работы в условиях радиации, агрессивных сред, высоких температур и термомеханических перегрузок. В рамках данного направления осуществляется разработка и реализация сенсорных и исполнительных элементов микросистемной техники [1]. Одним из факторов, определяющих развитие электронного приборостроения в вышеуказанных областях, является использование композиций таких материалов как карбид кремния и нитрид алюминия (A1N). Преимущества композиции "SiC-AIN" - широкозонного полупроводника и диэлектрика определяются:

- кристаллохимической и термомеханической совместимостью данных материалов;

- устойчивостью к воздействиям высоких температур, радиации и агрессивных сред;

- механической прочностью SiC и наличием у него тензоэффекта;

- наличием у A1N пьезоэффекта в сочетании с низкими потерями при распространении акустических волн на высоких частотах.

Наряду с физико-технологической совместимостью SiC и' A1N, к достоинствам композиции "SiC-AIN" относится возможность ее получения на подложках кремния и сапфира (А120з) большой площади, что позволяет создавать приборные структуры на стандартном технологическом оборудовании микроэлектронного производства.

- 5

При создании микроэлектромеханических структур имеют место задачи обработки поверхности, прецизионного микропрофилирования на глубинах не превышающих единиц микрометров, глубинного высокоскоростного анизотропного травления, способного обеспечить большое отношение глубины рельефа к боковому подтраву, а также селективного травления многослойных систем.

В настоящее время технология микропрофилирования многослойной системы SiC/AlN/Si для микромеханических приборных структур базируется на использовании комбинированных методов, включающих жидкостное и сухое травление. В частности, формирование мембран и микробалок в монокристаллическом кремнии (основном конструкционном материале современной микромеханики) осуществляется за счет жидкостного травления. В то же время прецизионное локальное травление SiC и A1N жидкостным способом при микропрофилировании системы SiC/AlN/Si затруднительно из-за ограничения по избирательности травления материалов. Поэтому, при создании микромеханических приборов на основе данных материалов возникает необходимость развития "сухих" ионно-плазменных методов обработки SiC, AIN и их композиций с целью обеспечения требуемых скорости, селективности, анизотропии травления и пространственного разрешения.

На момент начала настоящей работы было известно, что SiC и A1N могут обрабатываться методом реактивного ионно-плазменного травления (РИПТ) в галогенсодержащей плазме. Однако, существовала определенная t ограниченность данных по "сухому" микропрофилированию SiC и AIN, а также отсутствовали данные по селективности травления A1N по отношению к ряду базовых материалов микроэлектроники, в том числе и при использовании A1N в композиции с SiC. Одновременно с этим в условиях финансовых ограничений стояла задача минимизации временных и экономических затрат на разработку аппаратурно-методического обеспечения процесса травления SiC и A1N, что

-6 определило актуальность научно- практической разработки технологии обработки SiC и A1N на едином оборудовании и по унифицированной технологической схеме.

Целью диссертационной работы являлась разработка технологии прецизионного микропрофилирования композиции "SiC-AIN" методом реактивного ионно-плазменного травления в галогенсодержащей плазме ВЧ разряда магнетронного типа на основе исследования и широкого использования селективности, а также применение разработанной технологии травления SiC и A1N при создании приборов микромеханики.

В рамках поставленной цели решались следующие задачи:

1. Исследование процесса РИПТ нитрида алюминия в плазме трихлортрифторэтана (C2CI3F3). t

2. Исследование явления селективности реактивного ионно-плазменного травления SiC, AIN, Si и Al в галогенсодержащей плазме на основе реакционно-активных газов: гексафторида серы (SF6), C2CI3F3, их смесей, а также смесей данных газов с кислородом.

3. Разработка аппаратурно-методического обеспечения технологии реактивного ионно-плазменного микропрофилирования композиции "SiC-AIN" в единой технологической камере по унифицированной технологической схеме.

4. Внедрение разработанных процессов локального и избирательного РИПТ SiC и A1N в технологический процесс создания ряда микромеханических приборных структур.

В результате решения указанных задач, получен ряд научных результатов:

1. Исследована селективность травления SiC и A1N в плазме галогенсодержащих газов (SFô и C2CI3F3), а таюке их смесей и установлено, что наибольшая селективность травления SiC по отношению к A1N при удалении материала в плазме ВЧ магнетронного разряда с распределенной удельной мощностью 1 -f- 2 Вт/см2 достигается использованием в качестве i основного травящего агента SFô, в то время как при травлении композиции "SiC-AIN" в C2CI3F3 селективность травления практически отсутствует.

2. Установлено, что приемлемая для микропрофилирования скорость травления A1N (10 ч- 70 нм/мин) достигается реализацией процесса удаления данного материала в ВЧ разряде магнетронного типа в плазме трихлортрифторэтана при низких давлениях (0,2 ч- 0,5 Па) и распределенной удельной мощности разряда 1 ч- 2 Вт/см2.

3. Показано, что в качестве маскирующего покрытия при РИПТ SiC и A1N в SFô и C2CI3F3 может использоваться алюминий. Несмотря на незначительное различие в скоростях травления алюминия в SFô и C2CI3F34 данное маскирующее покрытие позволяет получить высокие значения селективности травления по отношению к SiC и A1N при травлении в средах, обеспечивающих максимальные скорости удаления данных материалов.

4. Установлено, что добавка кислорода к реакционно-активным газам при РИПТ обеспечивает повышение устойчивости алюминиевого маскирующего покрытия к травящей среде SFô и C2CI3F3, что позволяет реализовать процессы локального глубинного прецизионного травления SiC, AIN и Si, причем в случае травления кремния данный эффект, наряду с известным явлением увеличения скорости травления во фторсодержащих средах при небольших добавках кислорода (5 ч- 10 об. %), обеспечивает анизотропное (с высоким отношением глубины рельефа к боковому подтраву) травление на глубину 300 4-350 мкм при толщине маскирующего покрытия 1,5 ч- 2 мкм.

В работе также получен ряд практических результатов. 1. Разработана технология РИПТ SiC, AIN и их композиций, обеспечивающая реализацию процессов локального избирательного "сухого" травления данных материалов в единой технологической камере с использованием унифицированной технологической схемы.

- 8

2. Осуществлены: t

- разработка аппаратно-методического обеспечения процесса микропрофилирования композиции "SiC-AIN" в галогенсодержащей плазме ВЧ разряда с использованием установленных эффектов селективности травления SiC относительно A1N в зависимости от состава реакционно-активного газа,

- выбор высокоэффективного в данных средах маскирующего покрытия на основе алюминия;

- повышение скорости травления SiC и A1N за счет применения сканирующей магнитной системы, обеспечивающей также высокую однородность травления по большой площади (150x150 см2);

- повышение скорости травления кремния за счет модернизации оборудования введением заземленного экрана, образующего в зоне разряда квазизамкнутый объем с регулируемым расстоянием между подложкой (катодом) и анодом;

- текущий контроль динамики процесса удаления материала с использованием метода лазерной интерферометрии;

- внедрение разработанных аппаратуры и методики РИПТ композиции "SiC-AIN" в технологический процесс изготовления высокотемпературного датчика давления и акселерометра тензорезистивного типа, а также акселерометра емкостного типа и вибрационного микрогироскопа.

- 9 а

Заключение диссертация на тему "Микропрофилирование композиции "SiC-AlN" методом реактивного ионно-плазменного травления"

ОСНОВНЫЕ ВЫВОДЫ ПО РАБОТЕ

1. Осуществлена комплексная модернизация аппаратуры и разработано методическое обеспечение процесса прецизионного микропрофилирования композиции "карбид кремния - нитрид алюминия" ("SiC-AIN") методом реактивного ионно-плазменного травления по унифицированной технологии в единой рабочей камере, дополнительно оснащенной устройством ) магнитного сканирования (150x150 мм2) локализованного плазменного кольца, заземленным экраном, образующим в зоне разряда квазизамкнутый объем, системами текущего контроля состава среды на основе масс-спектрометрии, а также глубины/скорости травления на основе лазерной интерферометрии и показано, что:

- высокочастотное (13,56 МГц) реактивное ионно-плазменное травление карбида кремния в гексафториде серы в магнетронном разряде с распределенной удельной мощностью 0,4 ч-1,8 Вт/см2 обеспечивает скорость травления 50 -f 200 нм/мин, при этом в механизме травления доминирует процесс ионного разупорядочения приповерхностного слоя с последующим его удалением в результате химических реакций;

- высокочастотное реактивное ионно-плазменное травление нитрида алюминия в трихлортрифторэтане в магнетронном разряде с распределенной удельной мощностью 0,4 -f- 1,8 Вт/см2 обеспечивает скорость травления 10 4- 70 нм/мин, при этом в механизм травления основной вклад вносит физическое воздействие ионной бомбардировки, обеспечивающей разупорядочение приповерхностного слоя, а также эффективное удаление слабо летучих продуктов химического взаимодействия плазмы с поверхностью.

2. Исследован процесс реактивного ионно-плазменного травления SiC, AIN и Si в гексафториде серы (SF6), трихлортрифторэтане (c2ci3f3), их смесях, а также смесях данных газов с кислородом и установлено, что:

-139— селективность травления композиции "SiC-AIN" в газовой смеси SF6/C2CI3F3 изменяется в широких пределах и в стандартных рабочих условиях составляет 30/1 и 2/1 в среде SF6 и C2CI3F3, соответственно;

- эффективным маскирующим покрытием в среде SF6 и C2CI3F3 при реактивном ионно-плазменном травлении SiC, AIN и Si является алюминий, причем добавка кислорода к галогенсодержащим газам способствует повышению химической стойкости маскирующего покрытия и обеспечивает селективность травления SiC и Si при использовании смеси SF6/02 и A1N при использовании смеси C2CI3F3/O2 по отношению к А1 как 30/1, 600/1 и 3/1, соответственно.

3. Осуществлено внедрение разработанных процессов реактивного ионно-плазменного травления карбида кремния, нитрида алюминия и кремния в технологический процесс создания тензорезистивных датчиков давления и акселерометров, а также емкостных акселерометров и вибрационных микрогироскопов для решения задач:

- формирования меза-структур в эпитаксиальных слоях SiC и A1N с пространственным разрешением 2 ч- 3 мкм;

- избирательного локального удаления SiC и A1N в композиции "SiC-AIN";

- глубинного высокоскоростного микропрофилирования кремния (способ альтернативный жидкостному ориентационно-чувствительному травлению) при формировании кремниевых мембран и других объемных (3D) структур сложной топологии в объектах микросистемной техники.

-140

Библиография Лютецкая, Ирина Геннадиевна, диссертация по теме Технология и оборудование для производства полупроводников, материалов и приборов электронной техники

1. Лучинин В.В., Таиров Ю.М. Карбид кремния перспективный .материал электронной техники/ Известия ВУЗов. Электроника. - 1997. - №1. - С. 10-37.

2. Kirk-Othmer. Encyclopedia of Chemical Technology (2-d edition)// NY-London-Sydney. 1964. - Vol. 14. - P. 312-335.

3. CRC Handbook of metal etchants/ Edit by D. Walker and W.H. Tarn. CRC, Boca Ration, USA. - 1991. - P. 574-576.

4. Рабинович B.A., Хавин З.Я. Краткий химический справочник: справ. изд./Под ред. А.А. Потехина и А.И. Ефимова. 3-е изд. перераб. и доп. -Л.: Химия. -1991.-432 с.

5. Угай Я.А. Введение в химию полупроводников// М.: Высшая школа. -1975. -302с.

6. Сангвал К. Травление кристаллов. Теория, эксперимент, примейение/М.: Мир. 1990. - 496.

7. Фост Дж./ В кн. Травление полупроводников. Перевод с англ. Горина С.Н.// М.: Мир. 1965. - С. 252-298.

8. Карбид кремния/ Под ред. Г. Хениша и Р. Роя. М.: Мир. - 1972. - 349 с.

9. Лучинин В.В. Гетероэпитаксия и микропрофилирование в технологии карбида кремния: Автороеф. дисс. на сосиск. уч. ст. канд. физ.-мат. наук./ Л.: ЛЭТИ. 1978. - 16 с.

10. Иванов Е.Г. Исследование процессов ростового микропрофилирования карбида кремния: Автореф. дисс. на сосиск. уч. ст. канд. физ.-мат. наук./СПб.: ЛЭТИ.- 1993.-16 с.t

11. Faust J.W./ В кн. Methods of Experimental Physics// Acad. Press, New York -1959. vol. 6. - P. 45-67.

12. Faust J.W./ В кн. Silicon carbide a High Temperature Semiconductor// Pergamon Press, London. - 1960. - P. 31-44.

13. Duval C.I Inorganic Thermogravimetric Analysis// 2nd Elservier, New York. -1963.-P. 73-81.- 141

14. Jennings V.J., Sommer A., Chang H.C./J. Electrochem. Soc. 1966. - vol. 113.-P. 728-731.

15. Weigel O./Nachr. Ges. Wiss. Gott., Math. Phys. Kl. - P. 246-250.

16. Гудков B.A., Крысов Г.А. О возможности травления SiC в водныхSрастворах// Электронная техника, сер. Электроника СВЧ. 1982. - вып. 6 (342). - с. 43-45,

17. Файнштейн С.М. Обработка и защита поверхности полупроводниковых приборов. Изд. 3-е, перераб.//М.: Энергия. 1970. - 295 с.

18. Conway В.Е. Theory and Principles of Electrod Processes/Ronald Press, New York. 1965. - 174 p.

19. Wet chemical etching of A1N/ J.R. Mileham, S.J. Pearton, C.R. Abernaty, etc.// Apl. Phis. Lett. 1995. - 67 - P. 1119 -1121.

20. Strite S., Morko? H. GaN, A1N and InN: review// Vacuum Sci. And Tech. -1992. -В.-Vol. 10 P. 1237-1266.

21. Gerova E.V., Ivanov N.A., Kirov К.1./ Thin Solid Films. -1981. Vol. 81 -P. 201 -204.i

22. Preparation and properties of A1N films using an organometallic precursor/ W.Lee, M.McConnell, W. Lewis, etc.// J. of the Electrochem. Soc. 1989. - Vol. 136. - P. 472 - 478.

23. Sheng, Z.Q. Yu, G.J. Disk hydrogen plasma assisted chemical vapour deposition//Appl. Phys. Lett. 1988. - Vol. 52. - P. 576-580.

24. Chemical etching of ion beam deposited A1N and A1N:H/L. Huang, D.Wang, K.W. Hipps, etc.// Thin Solid Films. 1996. - Vol. 279. - P. 43-48.

25. Chu T.L., Celm R.W. The preparation and properties of A1N films// J. of the Electrochem. Soc. 1975. - Vol. 122. - P. 3108 - 3112.

26. Соколов A.B. Оптические свойства металлов/М.: Физматгиз, 1961. 247 с.

27. Данилин Б.С., Киреев В.Ю. Применение низкотемпературной плазмы для травления и очистки материалов. М.: Энергоатомиздат, 1987. -263 с.

28. Технология СБИС. Под ред. С. Зи. Том 2/М.: Мир, 1986. 453 с.

29. Ивановский Г.Ф., Петров В.И. Ионно-плазменная обработка материалов// М.: Радио и связь, 1986. 231 с.

30. Вурзель Ф.Б., Полак JI.C. Плазмохимия// Химия. 1985. - С. 3-30.

31. Джан Хай Ин. Физико-технологические основы ионно-плазменного травления карбида кремния: Автореф. на соиск. степени канд. техн. Наук// СПб., ЛЭТИ 1993-16 с.

32. Киреев В.Ю., Кузнецов В.И., Данилин Б.С. Субмикронная технология интегральных микросхем/М.: Итоги науки и техники, серия Электроника. -1985. -том 14.-С. 76-136.

33. Киреев В.Ю., Кузнецов В.И., Кустов B.JI. Распределение свободных атомов и радикалов в потоке низкотемпературной газоразрядной плазмы/Журнал физ. химии. 1983. - № 6. - С. 1494-1499.

34. Денбновецкий C.B., Барченко В.Т., Шмырева JI.H. Физические основы генерации плазмы в ионно-плазменных устройствах технологического назначения/Киев: УМК ВО. 1989. - 230 с.

35. Денбновецкий C.B., Барченко В.Т., Шмырева JI.H. Моделирование генераторов плазмы/Киев: УМК ВО. 1990. - 214 с.

36. А.И. Курносов, В.В. Юдин. Технология производства полупроводниковых приборов и интегральных микросхем/ Изд. 3-е перераб. и доп.// М.: Высшая школа. 1986. - 367 с.

37. Лучинин В.В., Сазанов А.П., Чжан Х.Ин. ВЧ-магнетронное травление-143 «монокристаллов и эпитаксиальных пленок карбидакремния// Изв. СПбЭТИ. Перспективные материалы радиоэлектроники. -СПб. 1992. - вып. 443. - С. 37-41.

38. RF-magnetron etching devices structures based on silicon carbide/ V.V. Lychinin, A.A. Petrov, A.P. Sazanov, H.Y. Czhan// Transactions of the Ins. High Temp. Electron. Conf. (HiTEC). Charlotte, USA. 1994. - Vol.2. - P. 243 - 245.

39. Wolf R., Helbig R. Reactive Ion Etching of 6H-SIC in SF6/02 and CF4/02 with N2 additive for device fabrication// J. of the Electrochem. Soc. 1996. - Vol. 143. - P. 1037 - 1042.

40. Reactive Ion Etching of 6H-SÍC using NF3. J.B. Cassady, E.D. Luckowski, M. Bozack, ets.// Technical digest of Int'l Conf. on SiC and Related Materials -ICSCRM-95-, Kyoto, Japane. 1995. - P. 382.

41. Yih P.H., Steckl A.J. Residue-Free Reactive Ion Etching of Silicon Carbide in Fluorinated Plasmas. I. 6H-SÍC//J. of the Electrochem. Soc. -1993. Vol. 140. -P. 1813 -1824.

42. Yih P.H., Steckl A.J. Residue-Free Reactive Ion Etching of Silicon Carbide in Fluorinated Plasmas. II. 6H-SÍC//J. of the Electrochem. Soc. 1995. - Vol. 142. -p. 312-319.

43. Yih P.H., Steckl A.J. Residue-Free Reactive Ion Etching of 3C-SÍC and 6H-SÍC in Fluorinated Plasmas// J. of the Electrochem. Soc. 1995. - Vol. 142. - p.2853 -2862.

44. Pan W.-S., Steckl A.J. Reactive Ion Etching of SiC Thin Films by Mixtures of Fluorinated Gases and Oxigen// J. of the Electrochem. Soc. 1990. - Vol. 137. -p.212-225.

45. Лучинин B.B., Лютецкая И.Г., Сазанов А.П. Реактивное ионно-плазменное травление композиции "карбид кремния нитрид алюминия"// Известия ВУЗов, сер. Электроника. - 1999. - № 3. - С. 3 -14.

46. Попов И.В., Сыркин А.Л., Челноков В.Е. Реактивное ионно-плазменное травление SiC// Письма в ЖТФ. 1985. - Т. 12., вып. 4. - С. 240 - 243.- 144

47. Wu J., Parsons J. D., Evans R. Sulfur Hexafluoride Reactive Ion Etching of (111) P-SiC Epitaxial Layers, Grown on (111) TiC Substrates// J. of the Electrochem. Soc. 1995. - Vol. 142. - P. 669 671.

48. Surface processing of silicon carbide substrates/ A.S. Bakin, I.G. Lyutetskaja, A.P. Sazanov, etc.// Materials Science and Engineering. 1997. - B4. - P. 370 - 373.

49. Wtirfl J. III/IV Nitride Dry Etching Techniques// 3rd Int. High Temp. Electron. Conf.(HiTEC). Short Course 2: Silicon Carbide A Review of Materials and Device Processing. - 1996. - P. 93.

50. Pearton S.J., Abernaty C.R., Ren F. Low bias electron cyclotron resonance plasma etching of GaN, A1N and InN// Appl. Phys. Lett. 1994. - Vol. 64,- P. 2294 -2296.

51. High temperature electron cyclotron resonance etching of GaN, A1N and InN/ R.J. Shul, S.P. Kilcoyne, M.H. Crawford etc.// Appl. Phys. Lett. 1995. - Vol 66. - P. 1761 - 1765.

52. Плазменная технология в производстве СБИС/ Под ред. Айнспрука Н. и Брауна Л. М.: Мир, 1987. 470 с.

53. Гусев А.В., Киреев В.Ю. Формирование элементов мегабитовых ДОЗУ. Часть 2. Травление алюминия и его сплавов/ЦНИИ «Электроника». М., 1988 - (Обзоры по электронной технике. Сериия 3 (микроэлектроника), вып. 3.- 64 с.

54. Войценя B.C., Гужова С.К., Титов В.И. Воздействие низкотемпературной плазмы и электромагнитного излучения на материалы// М.: Энергоатомиздат. -1991.-223 с.

55. Отражательная рефрактометрия/М.В. Лейкин, Б.И. Молочников, В.Н. Морозов, Э.С. Шакарян//Л.: Машиностроение. 1983. - 157 с.

56. Биленко Л.Д., Галашникова Ю.Н., Смирнов А.И. Контроль толщины слоев в процессе селективного наращивания или травления/ ПТЭ. 1972. - №5. - С. 37-43.

57. Разработка устройства контроля глубины для процессов плазмохицического травления стекол и соединений кремния. Копия отчета о НИР/Колгин Е.А., Смирнов Е.А., Сазанов А.П. и др.//Л.: ВНТИЦ, 1987. 102 с.

58. Устройство для оперативного контроля процесса травления пленок/ Колгин Е.А., Лушин А.И., Сазанов А.П. и др.// В кн.: Тез. докл. Всесоюзн. семинара "Микролитография" Черноголовка. - 1988. - С. 146 - 147.

59. Спектроскопия и дифракция электронов при исследовании поверхности твердых тел/ Кулешов В.Ф., Кухаренко Ю.А., Фридрихов С.А и др.// М.: Наука, 1985.-288 с.

60. Kushner M.J. A kinetic study of the plasma etching of Si & Si02 in CFm/H2 & CFm/02 plasmas// J. of Appl. Phys. 1982. -Vol. 53. - № 4. - P. 2923-2931.

61. Kobayashi J., Nakazato N., Hirasuka K. Numerical simulation for gas' flow and mass transfer in a dry etching chamber// J. of the Electrochem. Soc. 1989. - Vol. 136. - p.1781-1787.

62. Barnes M.S., Colter T.J., Elta M.E. Large-signal time-domain modelling of low pressure RF glow discharges// J. Appl. Phys. 1987. - Vol. 61. - P. 81-86.

63. Coburn J.W., Winters H.F. Ion- and electron-assisted gas-surface chemistry An important effect in plasma etching// J. of Appl. Phys. 1979. - Vol. 50. - P. 132-137.

64. Westwood W.D., Maniv S., Scanlon P.J. The current-voltage characteristic of magnetron sputtering systems// J. of Appl. Phys. -1983. Vol. 54. -P. 1478-1483.

65. Edelson D., Flamm D.L. Computer simulation of a CF4 plasma etching silicon// J. of Appl. Phys. 1984. -V. 56. - P. 1522-1529.- 146

66. Налимов В.В., Чернова Н.А. Статистические методы планирования экстремальных экспериментов/М.: Наука, 1965. 271 с.

67. Планирование эксперимента/ Сб. под ред. КругаГ.К.//М.: Наука, 1966. -78 с.

68. Планирование эксперимента и применение вычислительной техники в процессе синтеза резины/ Сб. под ред. Евстратова В.Ф и Шварца AT.// М.: Химия, 1970.-124 с.

69. Box G.E.P, Wilson К.В., Roy/J. State Soc. 1951. - (В). - Vol. 13. - P. 45-52.

70. Казарин КГ. Анализ и моделирование технологических процессов/ Ижевск, 1977.-47 с.

71. Stevens K.S., Kinniburgh М. Demonstration of a FEET using A1N as a gate dielectric// J. of Appl. Phys. Lett. 1995. -Vol. 66. - P. 3179-3181.

72. AIN/Si Lamb-wave microsensors for pressure and gravimetric measurements/ A. Choujaa, N. Tirole, C. Bonjor, etc.// Senc. Actuators. 1995. - (A) - Vol. 46. - P. 179-182.

73. Comparison of Si02 and A1N as Gate Dielectric for SiC MOS Structures/ C.-M. Zetterling, M. Ostling, C.I. Harris, etc.// ISCRM'97, Стокгольм. -1997. -'P. 38-39.

74. Корляков A.B., Лучинин B.B. Высокостабильные микромеханические преобразователи на основе карбида кремния для экстремальных условий эксплуатации//Тез. докл. 1-ой международной конференции по электромеханотронике. СПб - 1997 - с. 144.

75. Северов Л.А., Сорокин А.В., Лучинин В.В. Микромеханические гироскопы: конструкции, характеристики, технологии, пути развития// Изв. ВУЗов. Приборостроение. 1998. - Т. 41, № 1-2. - С. 57-73.

76. Wicker T.E., Mantei T.D. Plasma etching in a multipolar discharge// J. of Appl. Phys. 1985. - Vol. 57 - P. 768-773.

77. M.D. Gill. Sustaining mechanisms in RF plasmas// Vacuum. 1984. - Vol. 34. - P. 357-364.

78. Bruce R.H. Anisotropy Control in Dry Etching/ Solid State Technology. 1981. -№10.-P. 64-68.

79. Plasma Etching of Silicon in SF6/ Y.-J. Lii, J.Jorne, K.C. Cadien, etc.// J. of the Electrochem. Soc. 1990. -Vol. 137. - P. 3633-3638.

80. Anisotropic Reactive Ion Etching of Silicon Using SF6/O2/CHF3 Gas Mixtures/ R. Legtenberg, H. Jansen, M. de Boer, etc.// J. of the Electrochem. Soc. 1995. - Vol. 142. - P. 2020-2027.

81. A,J. van Roosmalen. Review: dry etching of silicon oxide// Vacuum. 1984. - Vol. 34.-P. 429-436.