автореферат диссертации по электронике, 05.27.01, диссертация на тему:Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования

кандидата физико-математических наук
Ивин, Владимир Владимирович
город
Москва
год
2000
специальность ВАК РФ
05.27.01
цена
450 рублей
Диссертация по электронике на тему «Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования»

Автореферат диссертации по теме "Исследование перспективных фотолитографических процессов с суб-0.2 мкм проектными нормами с помощью математического моделирования"

о

фюико-технологический институт

л. Российской Академии наук

:.,•• На правах рукописи

Ивин Владимир Владимирович

ИССЛЕДОВАНИЕ ПЕРСПЕКТИВНЫХ ФОТОЛИТОГРАФИЧЕСКИХ ПРОЦЕССОВ С СУБ-0.2 МКМ ПРОЕКТНЫМИ НОРМАМИ С ПОМОЩЬЮ МАТЕМАТИЧЕСКОГО МОДЕЛИРОВАНИЯ

специальность 05.27.01 - твердотельная электроника, микроэлектроника и наноэлектроника

Автореферат диссертации на соискание ученой степени кандидата физико-математических наук

Москва-2000

Работа выполнена в Физико-технологическом институте РАН

Научный руководитель доктор физико-математических наук, профессор

Махвиладзе Т. М.

Официальные оппоненты: доктор технических наук, профессор

Мартынов В. В. кандидат химических наук Савинский Н. Г.

Ведущая организация Институт проблем технологии микроэлектроники

и особочистых материалов РАН

Защита состоится " т~ " 2000 г. в часов

на заседании диссертационного совета Д.003.74.01 при Физико-технологическом институте РАН, по адресу: 117218, Москва, Нахимовский просп., д. 36 корп. 1.

С диссертацией можно ознакомиться в библиотеке Физико-технологического института РАН.

Автореферат разослан

" " 2000 г.

Ученый секретарь кандидат физико-математических наук

диссертационного совета Вьюрков В. В.

Общая характеристика работы

Актуальность темы. Несмотря на продолжающееся уменьшение размеров полупроводниковых устройств, оптическая литография по-прежнему остается ведущей литографической технологией, используемой при воспроизведения топологии интегральных схем (ИС) на кристалле. В настоящее время минимальные размеры элементов топологии ИС составляют величину менее 0.2 мкм, и тенденции дальнейшего повышения степени интеграции ИС требует перехода на суб-ОЛмкм проектные нормы уже к 2007 г. Вместе с тем, дальнейшая адаптация оптической литографии до размеров менее 0.1 мкм представляется едва ли осуществимой, в то время как альтернативные литографические технологии, такие как литография с использованием крайнего ультрафиолета или техника SCALPEL, потенциально обладают разрешающей способностью в несколько десятков нанометров и потому представляют собой альтернативу оптической литографии и в области критических размеров 0.1.. .0.2 мкм.

Кроме того, фотолитографические процессы, предлагаемые для производства ИС с суб-0.2 мкм проектными нормами, имеют различную степень готовности к непосредственному применению. Так, фотолитографический процесс с использованием излучения KrF лазера (длина волны 248 нм) уже применяется в производстве микросхем с критическими размерами в диапазоне 0.18...0.25 мкм. Существенный прогресс достигнут за последние несколько лет и в адаптации процесса с использованием ArF лазера (длина волны 193 нм) к промышленным условиям, и с его помощью предполагается достичь разрешающей способности вплоть до 0.1...0.13 мкм. В последнее время также усилился интерес к использованию излучения F2 лазера с длиной волны 157 нм, с помощью которого предполагается добиться уменьшения проектных норм вплоть до 90 нм. Однако, внедрение последнего процесса в производство ИС сопряжено с решением ряда сложных проблем технического характера. Как

следствие, необходимые материальные и временные затраты на разработку и адаптацию указанного фотолитографического процесса могут оказаться сопоставимыми с предполагаемыми затратами в области альтернативных литографических технологий.

Поэтому с точки зрения оптимизации материальных и временных затрат, необходимых для достижения разрешения 0.1 мкм и менее, актуальным является вопрос о принципиальных границах адаптируемости оптической литографии в суб-0.2мкм области критических размеров (КР), за которыми неизбежен переход к одной из альтернативных литографических технологий. Соответственно, целью данной работы было определение максимально достижимого разрешения в каждом из вышеупомянутых фотолитографических процессах. В конечном счете, проводимое исследование должно было помочь очертить круг поколений ИС, производство которых представляется возможным в рассматриваемых процессах, а сравнительный анализ характеристик этих процессов должен был позволить установить примерные ниши их будущего использования.

В качестве метода проведения вышеобозначенного исследования использовалось математическое моделирование реального фотолитографического процесса. За последние 10 лет использование средств математического моделирования (СММ) зарекомендовало себя в качестве надежного (на некоторых этапах - единственного) инструмента получения основных характеристик исследуемых фотолитографических процессов. В лаборатории ММФТПМ ФТИРАН исследования в области математического моделирования различных литографических технологий проводились с начала 80-х гг., и значительный опыт был накоплен в том числе и в области моделирования оптической литографии.

Однако, вместе с продолжающимся прогрессом в области фотолитографических технологий, разработанные ранее модели и методы стали нуждаться в дальнейшем развитии и усовершенствовании. Поэтому необходимым условием для проведения вышеупомянутого исследования была разработка и верифика-

ция адекватных физических моделей и математических методов, предназначенных для моделирования современного фотолитографического процесса. Как следствие, научная новизна данной работы заключается в том, что:

1) Были разработаны строгие модели формирования оптического изображения современными проекционными системами, с возможностью моделирования таких методов улучшения разрешающей способности как

- объективы с числовой апертурой (ЧА) 0.6 и выше (т.н. высоко-апертурные объективы);

- фазовые шаблоны;

- внеосевые источники.

2) Для обеспечения высокой точности и скорости расчета скрытого изображения в резисте было разработано и апробировано строгое скалярное («псевдовекторное») приближение.

3) Для моделирования процесса жидкостного проявления резиста была существенно улучшена производительность хорошо известного метода ячеек.

4) Для моделирования современных высокочувствительных и химически усиленных резистов были адаптированы предложенные ранее модели фоторезистов, а также разработана соответствующая методика определения модельных параметров скорости проявления резиста.

Практическая ценность работы заключается в том, что:

1) Во время подготовки к работе были систематизированы и проанализированы основные приложения математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов.

2) Модели формирования оптического, скрытого и проявленного изображений, разработанные в результате выполнения данной работы,

были проверены на большом множестве экспериментальных и расчетных данных, опубликованных другими авторами, что позволяет говорить о высокой практической достоверности выводов, полученных с помощью разработанных моделей.

3) В результате выполнения данной работы были получены значения предельной разрешающей способности, достижимой в каждом из рассматриваемых фотолитографических процессов при использовании различных комбинаций числовой апертуры и фотошаблонов, а также изучено изменение доступной глубины фокуса при уменьшении размеров воспроизводимых элементов. На основании полученных данных были сделаны выводы о возможных нишах использования рассмотренных фотолитографических процессов в производстве ИС с суб-0.2мкм минимальными размерами.

Апробация работы. Результаты, представленные в работе, докладывались на следующих конференциях: SPIE Microlithography Symposium 1996 -Optical Microlithography IX (Санта-Клара, США), SPIE Microlithography Symposium 1997 - Optical Microlithography X (Санта-Клара, США), SPIE Microlithography Symposium 1998 - Emerging Lithographie Technologies II (Санта-Клара, США), а также семинарах Ф ТИР АН. По материалам диссертации опубликовано б печатных работ.

Структура и объем работы. Диссертация состоит из введения, трех глав и заключения, а также двух вспомогательных разделов - содержания и списка используемых сокращений. Общий объем работы составляет 146 страниц. Работа содержит 40 рисунков, 11 таблиц и список цитируемой литературы с примечаниями из 72 позиций.

Основное содержание работы

В Введении обоснована актуальность рассматриваемой проблемы, сформулирована цель работы, дана характеристика используемым методам и научной новизне работы, указана ее практическая ценность и приведено краткое содержание основных разделов работы.

В первой главе рассмотрены следующие основные приложения СММ в исследовании, разработке и оптимизации современного фотолитографического процесса: а) предварительный анализ возможностей технологического процесса; б) выбор оптимальных параметров технологического процесса; в) оптимальная коррекции топологии фотошаблона.

Использование СММ для предварительного анализа возможностей технологического процесса рассмотрено в §1.1 на примере сравнения следующих фотолитографических процессов: а) с использованием линии I спектра ртути, бинарного шаблона и высококонтрастного резиста; б) с использованием линии g, фазового шаблона и обычного резиста. Подобный анализ касается наиболее важных характеристик технологического процесса; соответственно, в этом разделе описана методика определения разрешения фотолитографического процесса по графику зависимости КР на резисте от приведенного размера на маске. Сравнение указанной характеристики, а также глубины фокуса, доступной для передачи КР на пределе разрешения, показывает, что оба процесса имеют практически одинаковые возможности для передачи КР >0.4 мкм при значении ЧА до 0.6. При увеличении ЧА процесс на линии g, хотя и показывает лучшее разрешение, обладает худшей ГФ по сравнению с процессом на линии /.

Приложения СММ для выбора оптимальных параметров фотолитографического процесса рассмотрены в §1.2. Основной задачей здесь является нахождение номинальных значений параметров, обеспечивающих передачу защитного рельефа резиста с требуемыми геометрическими характеристиками (рас-

сматриваются в §1.2.1'). и установление предельных отклонешй этих параметров от номинальных значений, при которых уход характеристик защитного рельефа находится в допустимых пределах. Основная роль математического моделирования в этой области сводится к предварительному сужению диапазонов возможных изменений контролируемых параметров с целью минимизации времени, затрачиваемого на оптимизацию параметров процесса.

В §1.2.2 описана методика подбора оптимальной толщины резиста и ан-тиотражающего слоя. Из-за сильного отражения проникающего в резист излучения на границе резист/подложка, график зависимости КР от толщины резиста имеет четко выраженную периодическую структуру, приводящую к выходу КР за границы допустимых отклонений при небольшом (до ±2.5% в рассматриваемом примере) уходе толщины резиста. Использование антиотражающего слоя между резистом и подложкой позволяет добиться устойчивой передачи КР при ±10% допуске ухода толщины резиста, что существенно при воспроизведении профиля резиста поверх непланарного рельефа подложки. Оптимальная толщина антиотражающего слоя определяется по графику зависимости коэффициента отражения от толщины слоя.

В $1.2.3 описана методика определения оптимальных значений расфокусировки проекционной системы и дозы экспозиции. Известно, что неконтролируемая неоднородность величины обоих параметров по всей экспонируемой области может достигать значений порядка 1 мкм для расфокусировки и 20% от номинального значения - для дозы экспозиции. Поэтому в качестве номинальных выбираются такие значения этих параметров, при которых возможно устойчивое воспроизведение заданных характеристик проявленного профиля, если неконтролируемый уход одного или обоих параметров находится в заданных пределах. Для определения глубины фокуса (ГФ) и разброса по дозе экспозиции (РДЭ) используются линии уровня КР в плоскости (расфокусировка, доза). Для нахождения ГФ при заданном РДЭ в область допустимых значений расфокуси-

ровки и дозы вписывается прямоугольник так, чтобы его ширина была максимальна, а отношение высоты к вертикальной координате центра прямоугольника равнялось РДЭ. В качестве номинальных значений расфокусировки и дозы принимаются значения, соответствующие центру прямоугольника.

Так как значения ГФ и РДЭ остаются конечными и в отсутствие ухода по другому параметру, на практике зачастую приходится проводить дополнительную оптимизацию условий освещения, если полученные значения ГФ или РДЭ являются неприемлемо низкими. Пример такой оптимизации, заключающийся в выборе оптимальных значений ЧА и степени когерентности проекционной системы, приведен в §1.2.4. Поскольку зависимость доступной ГФ от ЧА объектива при фиксированном размере воспроизводимого элемента оказывается немонотонной, выбор оптимальных значений параметров проекционной системы сводится к перебору их возможных комбинаций; при этом использование СММ сужает первоначальную область поиска в 60 раз.

В §1.3 рассмотрено использование СММ в оптимальной коррекции фотошаблонов. Необходимость такой коррекции вызвана искажениями формы отдельных элементов проявленного профиля, существенными с точки зрения электрических характеристик создаваемых микроэлектронных устройств. На примере ячейки динамической памяти показан возможный способ коррекции топологии фотошаблона добавлением невоспроизводимых по отдельности элементов («серифов») на углах контактной площадки. При этом использование СММ позволяет на несколько порядков сократить временные и материальные затраты, необходимые для осуществления такой коррекции.

Основные трудности в использовании математического моделирования обсуждены в §1.4. К их числу относятся а) необходимость разумного компромисса между точностью результатов расчета и производительностью используемых программных средств; б) необходимость учета случайных и систематических ошибок во входных параметрах численного счета при проведении вери-

фикации программных средств и использовании полученных результатов. На практике вычислительный эксперимент используется для предварительного сужения области поиска оптимальных параметров процесса и потому всегда сопровождается экспериментальным подтверждением и уточнением найденных оптимальных значений технологических параметров. В качестве достаточного принимают совпадение между результатами расчета и экспериментом в пределах 10% - при выборе оптимальных параметров и 30% - предварительном анализе возможностей технологического процесса, что нужно учитывать при разработке соответствующих физических моделей и математических методов. При сборе экспериментальных данных для проведения верификации используемых моделей или определения модельных параметров необходимо предпринимать специальные меры для сужения доверительного интервала задания наиболее критичных технологических параметров, а также учитывать возможные систематические отклонения в значениях этих параметров.

Во второй главе описаны математические модели современного фотолитографического процесса, использованные автором в данном исследовании, а также приведены основные результаты по верификации и обсуждена применимость этих моделей.

Математическая модель формирования оптического изображения современными проекционными системами рассмотрена в §2.1. Для расчета интенсивности изображения на поверхности резиста, создаваемого частично когерентным источником, источник представляется в виде набора взаимно некогерентных элементарных источников, и суммарное распределение интенсивности, создаваемое всем источником, определяется следующим интегралом:

я

где через р5) обозначена напряженность электрического поля, создавае-

мого в точке р„, на поверхности резиста элементом источника (¡р], а функция £(р5) определяет относительную яркость элемента источника.

Для нахождения амплитуды поля Е„ последовательно рассматривается дифракция электромагнитного излучения на маске, его распространение через объектив и суперпозиция волн, приходящих от выходного зрачка, на поверхности резиста. В результате для элементарного источника, расположенного на оптической оси проекционной системы в случае нулевой расфокусировки имеем

Т\ I\а,В 1 ММ 1 и

(1)

где символом 3 обозначено (прямое) преобразование Фурье; функция зрачка Р ограничивает направляющие косинусы (а, ¡3) волн, проходящих через апертуру

объектива (ЛИ - его ЧА); <?(«,0) = ^ * ); а Г^,^ есть функ-

ция комплексного пропускания шаблона в приведенных координатах (М — коэффициент увеличения системы). В случае произвольной расфокусировки А в выражении для функции появляется дополнительный фазовый множи-

тель ехр[-¡М - (а2 + 01) ], а сдвиг элементарного источника относительно оптической оси оказывается эквивалентным сдвигу в частотной области спектра рассеянного маской излучения в выражении (1).

Хотя использованная в данной работе модель формирования оптического изображения является сугубо скалярной, она хорошо согласуется со строгим векторным подходом для практически интересных случаев. Соответствующий пример можно найти на рис. 1, где приведены распределения интенсивности оптического изображения для случая проекционной системы с ЧА 0.6 и длины волны излучения 365 нм. Кроме того, форма выражения (1) позволяет исполь-

зовать алгоритм быстрого преобразования Фурье (БПФ) для ускорения интегрирования; в результате типичное время расчета уменьшается примерно в 10 раз для случая одномерных шаблонов и примерно в 100 раз — для двумерных. При этом для устранения проблемы корректной аппроксимации элементов шаблона на сетке с достаточно крупным шагом используется межузловая интерполяция функции пропускания маски.

0.35 025 0.15 0.05 -0.05 -0.15 -025

-в.351-

-0.35 -025 - 0.15 -0.05 0.С6 0.15 025 0.35

-0.5 -0.5 -0.1 0.1 0.3 0.5

а)

б)

Рис. 1. а) 30% контур и б) центральное поперечное сечение оптического изображения 0.35 мкм изолированного отверстия, рассчитанные с помощью скалярного (штриховая линия) и векторного (сплошная) подходов.

Вопросы формирования скрытого изображения в резисте обсуждены в §2.2. Так в §2.2.1 изложен модельный подход, используемый для описания фотохимических превращений в резисте во время экспонирования и послеэкспо-зиционного отжига (ПЭО). Изменение локальной скорости травления резиста в рамках концепции фотоактивного компонента (ФАК) происходит вследствие разрушения ФАК при облучении, а также диффузии ФАК во время ПЭО. Разрушение ФАК и зависимость локального коэффициента поглощения излучения в резисте описываются с помощью параметров Дилла. Здесь же рассмотрены

особенности использования концепции ФАК для моделирования химически усиленных и негативных резистов.

Основная итерационная схема расчета скрытого изображения изложена в §2.2.2. В этой схеме на каждом шаге по времени рассчитывается распределение интенсивности в резисте по текущим мгновенным значениям локальной концентрации ФАК. Затем рассчитанное распределение интенсивности используется для обновления локальной концентрации ФАК для следующей итерации. Полученное распределение концентрации ФАК после экспонирования используется в качестве начального условия при моделировании ПЭО. Граничные условия, используемые при решении уравнения диффузии ФАК, описаны в §2.2.4, где также предложен способ оценки параметра диффузионной длины.

В §2.2.3 описана математическая модель распространения излучения в многослойных средах. В этой модели интенсивность излучения в резисте в случае частично когерентного источника определяется следующим интегралом:

/

где Р и В есть функции зрачка и яркости источника, а 1тю(х,у^-, «С,Д) - распределение интенсивности внутри резиста, создаваемое элементарным источником с угловыми координатами (<2^Д). Значение интенсивности излучения выражается через амплитуду электрического поля в веществе через следующее соотношение: I = (и - действительная часть коэффициента преломления).

Амплитуда электрического поля ЕК5 внутри резиста для каждого элементарного источника представляется в виде следующей суперпозиции:

Егк{х,у,2-,ас,рс)= \\р{а,№А)Еар(х,у,2)с1ас1р,

где Еар есть возмущение, создаваемое плоской волной с направляющим вектором + /ку + и амплитудой

Ла0 =в(а, у?;А)3 Г

м

Л

из спектра рассеянного маской излучения.

В случае плоской геометрии системы резист/подложка для нахождения возмущения Еар используются методы расчета оптических свойств тонких пленок. При этом весь слой резиста разбивается на тонкие подслои, оптические свойства каждого из которых считаются однородными. Тангенциальная компонента Е электрического поля внутри подслоя представляется в виде суммы двух плоских волн, одна из которых распространяется в направлении от поверхности резиста к подложке («прошедшая» волна), а вторая — от подложки к поверхности резиста («отраженная» волна). Амплитуды обоих волн находятся из рекуррентных соотношений, связывающих амплитуды падающей волны Асф с амплитудой прошедшей волны, распространяющейся в подложке, и учитывающих отсутствие отраженной волны в подложке. Окончательно имеем:

По сравнению со строгой скалярной формулировкой §2.2.3 описанное в литературе приближение вертикального распространения (ПВР) оказывается неприменимым в случае высокой ЧА. Для учета эффекта обращения изображения в ПВР необходимо использовать, как минимум, параболическую коррекцию интенсивности по глубине резиста. Такая коррекция не является достаточной в случае размера элемента на пределе разрешения, тогда как строгая скалярная формулировка хорошо согласуется со векторным рассмотрением. Соответствующий пример приведен на рис. 2, где показаны проявленные профили резиста для ЧА 0.7, размеров элементов 0.25 мкм при длине волны 248 нм (а, б) и 0.35 мкм при длине волны 365 нм (в, г), идеального фокуса (а, в) и расфокусировки -0.6 мкм (б, г). Кроме того, в отличие от ПВР форма выражения (2) по-

(2)

зволяет использовать БПФ, что уменьшает примерно на порядок временные затраты на расчет скрытого изображения.

4 Г:

:

\ 1 1 1 ! 1 / •

-025 «.15 0.05 005 0.15 0.25

а)

•028 -ОЛА -аов 005 0.15 0.25 б)

/ г 4 / ГГ "Т; •1 I1 Г И 1 II н \ д у »

•0.33 -0.29 -а 13 -СМ 0.05 0.15 0.29 035

в;

-0.35 -О 25 -0.15 «О 05 0.05 01Э 023 0 33

г)

Рис. 2. Результаты моделирования проявленных профилей резиста при использовании различных методов расчета скрытого изобрао/сения. Во всех случаях сплошная линия соответствует векторному подходу, штриховая — строгой скалярной модели и штрих пунктирная (1) —модели вертикального распространения с параболической коррекцией.

аз

В §2.3 описана модификация метода ячеек, использованная в данной работе. Недостатком обычного метода ячеек являются относительно большие временные затраты, необходимые для достижения требуемой точности. В модифицированном методе использованы некубические ячейки, что в несколько раз сокращает временные затраты при сохранении высокой точности расчета. Сравнение рассчитанных профилей резиста с имеющимися экспериментальными данными (размеры элементов до 0.4 мкм при длине волны 365 нм) показывает хорошее согласие результатов моделирования с экспериментом.

В §2.4 обсуждены возможные способы определения модельных параметров резиста, а в §2.5 описан упрощенный подход к быстрой оценке важнейших характеристик фотолитографического процесса. Упрощенный подход подразумевает расчет только оптического изображения и основан на соответствии некоторого порогового уровня интенсивности ожидаемому контуру проявленного профиля резиста. При адекватной калибровке пороговой модели она хорошо согласуется с полным моделированием проявленного профиля относительно тенденций изменения КР. Упрощенный подход может также использоваться для качественного определения тенденций изменения ГФ.

В третьей главе приводятся результаты исследования предельных возможностей адаптации фотолитографических процессов с использованием излучения ЬМ7 лазера (далее - Процесс 248), АгБ лазера (далее - Процесс 193) и Р2 лазера (далее - Процесс 157) для производства поколений ИС с минимальными размерами элементов менее 0.2 мкм.

Условия проведения исследования изложены в §3.1. Для каждого из исследуемых процессов определялось максимально достижимое разрешение при воспроизведении как периодических, так и изолированных линий резиста на бинарном шаблоне. В случаях Процессов 248 и 193 на установленном пределе разрешения также определялась доступная глубина фокуса при 10% допустимом РДЭ. Допуск ухода критического размера принимался равным 10% от но-

минального значения. Основным фактором, определяющим разрешение фотолитографического процесса, считалось значение ЧА проекционной системы; в данном исследовании рассматривались следующие значения ЧА: 0.6, 0.7 и 0.8. Помимо бинарного фотошаблона, исследовался фазовый шаблон типа alternating aperture PSM с целью анализа предельных возможностей различных техник улучшения разрешающей способности фотолитографического процесса. Также отдельно исследовалась возможность достижения требуемого разрешения на периодических линиях в бинарном шаблоне за счет увеличения промежутка между соседними линиями резиста.

При исследовании Процессов 248 и 193 использовалось полное моделирование проявленного профиля резиста. Значения модельных параметров резиста были определены по экспериментально измеренным зависимостям скорости растворения резиста от дозы экспозиции; в результате оптимальные значения дозы оказались лежащими в диапазоне 12... 16 мДж/см2, что соответствует реально наблюдаемой чувствительности химически усиленных резистов. Толщина резиста подбиралась так, чтобы обеспечить аспектное отношение не менее 3:1 на пределе разрешения при ЧА 0.8. Оптимальные параметры антиотражаю-щего слоя подбирались отдельно. Исследование Процесса 157 проводилось на основе упрощенного подхода из-за отсутствия достоверных экспериментальных данных для скорости растворения резиста. При этом с целью повышения предсказательной точности была проведена предварительная калибровка упрощенного подхода на результатах, полученных для Процессов 248 и 193.

В $§3.2-3.4 приведены результаты исследования Процессов 248,193 и 157 по отдельности, а в §3.5 проводится сравнительный анализ этих процессов. Основные результаты исследования обобщены в следующей таблице, а на рис. 3 показаны пределы разрешения всех процессов относительно изолированной линии в зависимости от ЧА.

Фотолитографические процессы, пригодные для воспроизведения топологии ИС с суб-0.2мкм минимальными размерами

КР, мкм Процесс ЧА Шаблон

0.18 248 0.6 бинарный1

фазовый

0.7 бинарный

193 0.6 бинарный

0.15 248 0.7 бинарный1

фазовый

0.8 бинарный1

193 0.6 бинарный1

фазовый

0.7 бинарный1

157 0.6 бинарный

0.13 248 0.8 фазовый2

193 0.7 бинарный1

фазовый

0.8 бинарный1

157 0.6 бинарный1

фазовый

0.7 бинарный

0.10 193 0.8 фазовый2

157 0.8 бинарный1

фазовый

0.07 157 0.8 фазовый2

'«Разреженный» (ширина промежутка больше ширины линии). Ограниченная применимость.

Рис. 3. Разрешающая способность исследуемых фотолитографических процессов относительно изолированных линий в зависимости от значения числовой апертуры проекционной системы.

Из таблицы видно, что при значениях ЧА 0.6 и 0.7 использование более разреженной упаковки элементов позволяет достичь такого же разрешения, что и с фазовым шаблоном при размере промежутка, равного ширине линии. При увеличении ЧА наблюдаемое улучшение разрешающей способности становится незначительным, хотя использование оптимальным образом подобранного фазового шаблона в принципе позволяет достичь разрешения, соответствующего следующему поколению ИС. Кроме того, использование ЧА, больших 0.7 в Процессах 248 и 193, а также 0.7 и менее в Процессе 157 может оказаться нецелесообразным в силу несущественности наблюдаемого улучшения разрешающей способности при переходе от ЧА 0.7 к 0.8 и от одного рассматриваемого процесса к другому. Таким образом, наиболее вероятно использование Процесса 248 для производства ИС с КР до 0.15 мкм, а Процесса 193 - до 0.13 мкм. В

Процессе 157 значение ЧА 0.8, по всей видимости, является критичным для достижения разрешения 0.1 мкм и менее.

Результаты исследования также предсказывают существенное уменьшение доступной ГФ при переходе к производству поколений ИС с суб-0.2мкм минимальными размерами вне зависимости от используемого процесса. Так при 10% РДЭ можно ожидать, что доступная ГФ составит примерно 0.4 мкм для КР 0.18 мкм, 0.3 мкм для КР 0.15 мкм и 0.2 мкм для КР 0.13 мкм (см. рис. 4). При этом линейная регрессионная модель на множестве данных по ГФ приводит к величине всего 0.1 мкм для ГФ, которая может оказаться доступной для воспроизведения 0.1-мкм элементов при 10% РДЭ в Процессе 157.

0.5

0.4 -

г 0.3 ¡£

£ 0.2

0.1 0.0

0.1

0.125

X данные по ГФ • среднее 5

-лин. регрессия X X ^

V* X .............. $_____________________________________

0.15 КР [мкм]

0.175

0.2

Рис. 4. К оценке ГФ, доступной для воспроизведения 0.1-мкм периодических линий в Процессе 157. Для оценки используется линейная регрессионная модель на множестве данных по ГФ, доступной в Процессах 248 и 193 при воспроизведении элементов с размерами 0.18, 0.15 и 0.13 мкм.

Наиболее важные результаты, полученные в результате выполнения данной работы, можно сформулировать следующим образом:

1) Проведен систематический анализ основных приложений СММ в исследовании, разработке и оптимизации современного фотолитографического процесса, а также выявлены основные трудности, возникающие при использовании СММ в вышеперечисленных приложениях.

2) Для моделирования современного фотолитографического процесса были разработаны адекватные физические модели и эффективные математические методы, обеспечивающие высокую точность результатов расчета при минимальных временных затратах.

3) Разработанные модели были верифицированы на большом множестве экспериментальных данных и результатах расчетов других авторов.

4) Проведенное исследование перспективных фотолитографических процессов с суб-0.2мкм разрешающей способностью позволило очертить круг поколений ИС, в производстве которых могут применяться исследуемые процессы.

5) В результате данного исследования выявлены значения ЧА и типы фотошаблонов, необходимые для использования каждого из исследуемых процессов в производстве конкретных поколений ИС с суб-0.2мкм проектными нормами.

6) Сравнительный анализ тенденций изменения разрешения и доступной ГФ при увеличении ЧА проекционной системы и при переходе от одного процесса к другому позволил установить примерные ограничения на возможные значения ЧА, а также на ниши возможного использования каждого из исследуемых процессов.

Основные результаты диссертации изложены в следующих работах:

1. V. Ivin, V. Kudrja, D. Larin, Т. Makhviladze, M. Medvedeva, A. Rogov, K. Valiev, and S. Verzunov, "Modeling and simulation of the contemporary photolithography process," Труды ФТИ РАН, т. 11, c. 3-22 (1996).

2. K. Lucas, V. Ivin, V. Kudija, D. Larin, T. Makhviladze, M. Medvedeva, A. Rogov, S. Verzunov, D. Yang, "Method of easily extracting resist development parameters for lithography simulation," Proceedings of SPIE, Vol. 2726, pp. 348359 (1996).

3. V. Ivin, D. Larin, K. Lucas, T. Makhviladze, A. Rogov, S. Verzunov, "Fast modeling of 3D planar resist images for high NA projection lithography," Proceedings of SPIE, Vol. 3051, pp. 567-577 (1997).

4. V. Ivin, K. Lucas, T. Makhviladze, V. Manuilov, M. Medvedeva, "Extension of the traditional optical model for investigation into the EUV projection lithography capabilities," Proceedings of SPIE, Vol. 3331, pp. 646-654 (1998).

5. В. Ивин, Т. Махвиладзе. Использование математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов. Физико-технологический институт РАН, препринт №22, М.: 2000.

6. В. Ивин, Т. Махвиладзе. Исследование предельных возможностей использования оптической литографии в области критических размеров менее 0.2 мкм с помощью математического моделирования. Физико-технологический институт РАН, препринт №23, М.: 2000.

Оглавление автор диссертации — кандидата физико-математических наук Ивин, Владимир Владимирович

Оглавление.

Введение.

Глава 1. Анализ возможностей математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов.

§1.1 Предварительный анализ возможностей технологического процесса.

§1.1.1 Важнейшие характеристики фотолитографического процесса.

§1.1.2 Пример: сравнение литографических процессов с использованием линий i и g спектра ртути.

§1.2 Выбор оптимальных параметров технологического процесса.

§1.2.1 Требования, предъявляемые к защитному рельефу.

§ 1.2.2 Подбор толщины резиста и антиотражающего покрытия.

§1.2.3 Определение оптимальных значений расфокусировки и дозы экспозиции; доступная глубина фокуса и допустимый разброс по дозе.

§1.2.4 Нахождение оптимальных значений числовой апертуры и степени когерентности проекционной системы.

§1.3 Оптимальная коррекция фотошаблона.

§1.4 Основные трудности при использовании математического моделирования.

§ 1.4.1 Вопросы точности и скорости счета.

§1.4.2 Определение модельных параметров.

Выводы.

Глава 2. Математические модели современного фотолитографического процесса.

§2.1 Формирование оптического изображения проекционной системой.

§2.1.1 Основные характеристики современных проекционных систем.

§2.1.2 Порядок расчета оптического изображения.

§2.1.3 Вопросы применимости используемой модели.

§2.2 Формирование скрытого изображения в резисте.

§2.2.1 Фотохимические превращения в резисте.

§2.2.2 Порядок расчета скрытого изображения.

§2.2.3 Распространение излучения через вещество резиста.

§2.2.4 Послеэкспозиционный отжиг резиста.

§2.2.5 Вопросы применимости используемых моделей.

§2.3 Эволюция проявленного профиля резиста; сравнение результатов расчета с экспериментом.

§2.4 Определение модельных параметров резиста.

§2.5 Использование упрощенных моделей.

Выводы.

Глава 3. Исследование возможностей оптической литографии в области критических размеров менее 0.2 мкм.

§3.1 Предмет и условия проведения исследования.

§3.1.1 Исследуемые характеристики фотолитографических процессов.

§3.1.2 Используемые модели и выбор значений модельных параметров.

§3.2 Исследование возможностей фотолитографического процесса с использованием излучения KrF лазера (длина волны 248 нм).

§3.2.1 Разрешение и глубина фокуса Процесса 248.

§3.2.2 Предельные возможности адаптации Процесса

§3.3 Исследование возможностей фотолитографического процесса с использованием излучения ArF лазера (длина волны 193 нм).

§3.3.1 Разрешение и глубина фокуса Процесса 193.

§3.3.2 Предельные возможности адаптации Процесса 193.

§3.4 Исследование возможностей фотолитографического процесса с использованием излучения F2 лазера (длина волны 157 нм).

§3.4.1 Разрешение Процесса 157.

§3.4.2 Предельные возможности адаптации Процесса 157.

§3.5 Сравнение возможностей исследуемых фотолитографических процессов в области суб-0.2мкм критических размеров.

Выводы.

Введение 2000 год, диссертация по электронике, Ивин, Владимир Владимирович

Значительный прогресс в технологиях разработки и производства интегральных микросхем (ИС) сделал доступными для повседневного делового и домашнего использования вычислительные мощности, еще 10 лет назад задействованные только в критически важных проектах оборонного значения [1]. Появление таких новых сфер использования персональных вычислительных систем как мультимедиа, виртуальная реальность, глобальные сети коммуникации, широкое распространение объектных и компонентных технологий создания программных продуктов вынуждают производителей ИС искать пути дальнейшего повышения степени их интеграции как для увеличении общей производительности отдельной системы, так и для наделения ее дополнительными функциональными возможностями. При этом миниатюризация размеров структурных элементов остается одним из основных методов повышения степени интеграции ИС помимо увеличения рабочей площади кристалла и совершенствования методов компоновки элементов (см. следующую таблицу).

Тенденции увеличения степени интеграции и уменьшения критических размеров ИС при переходе к суб-0.5мкм проектным нормам [2]

Год 1992 1995 1998 2001 2004 2007 2010

Степень интегр. • Память, бит 16М 64М 256М 1Г 4Г 16Г 64Г

• Логика, транз./ кв. см 2М 4М 7М 13М 25М 50М 90М

Минимальный размер, мкм 0.5 0.35 0.25 0.18 0.13 0.1 0.07

Как можно заметить из вышеприведенной таблицы, степень интеграции микросхем памяти увеличивается в четыре раза, а логических - примерно в два раза каждые три года, и для сохранения подобной тенденции в ближайшем будущем необходимо дальнейшее уменьшение проектных норм вплоть до размеров менее 0.1 мкм. При этом оптическая литография по-прежнему остается основной технологией, используемой при воспроизведения топологии ИС на кристалле, в настоящий момент - с минимальными размерами структурных элементов до 0.18 мкм. В этой связи актуальным является вопрос о предельных возможностях использования оптической литографии, так как дальнейшая адаптация данной технологии до размеров менее 0.1 мкм представляется едва ли осуществимой [3]. В то же время, для адекватного планирования всего цикла научно-исследовательских и опытно-конструкторских работ (НИОКР) требуются четкое понимание принципиальных границ адаптируемости оптической литографии, за которыми неизбежен переход к одной из альтернативных литографических технологий.

Хотя для замены оптической литографии ранее было предложено несколько кандидатов [4], в настоящий момент круг ее возможных преемников сузился фактически до следующих двух [5]:

1) Проекционная литография с использованием крайне /англ. "extreme"/ ультрафиолетового (КУФ), также называемого мягким рентгеновским /англ. "soft X-гау'7 [6], излучения и отражательной оптики [7];

2) Техника SCALPEL - разновидность проекционной электронной литографии с использованием углового отбора рассеянных электронов [8].

Следует отметить, что поскольку обе вышеуказанные технологии потенциально обладают разрешающей способностью в несколько десятков нанометров, то они представляют собой альтернативу оптической литографии и в области критических размеров 0.1. .0.2 мкм. Кроме того, подобным же разрешением обладает и хорошо известная электронно-лучевая (ЭЛ) литография [9], которая в силу недостаточно высокой производительности в основном используется для создания опытных образцов новых поколений полупроводниковых приборов и устройств, а также отдельных, наиболее критичных участков топологии ИС до момента полной адаптации основной (фотолитографической) технологии к новым проектным нормам. Другое применение ЭЛ литографии связано с созданием шаблонов для других литографических технологий, включая все упомянутые выше.

Что касается собственно оптической литографии в суб-0.2мкм диапазоне критических размеров, то здесь наибольшие перспективы имеют фотолитографические процессы с использованием излучения KrF (длина волны 248 нм) и ArF (193 нм) лазера, химически усиленных фоторезистов и одной из техник повышения качества оптического изображения (см. напр. [10]). Так, фотолитографический процесс с использованием излучения KrF лазера уже применяется в производстве микросхем с критическими размерами в диапазоне 0.18.0.25 мкм. Существенный прогресс достигнут за последние несколько лет и в адаптации процесса с использованием ArF лазера к промышленным условиям, и с его помощью предполагается достичь разрешающей способности вплоть до 0.1.0.13 мкм. В последнее время также усилился интерес к использованию излучения F2 лазера с длиной волны 157 нм, с помощью которого предполагается добиться уменьшения проектных норм вплоть до 90 нм [11]. Однако, внедрение последнего процесса в производство ИС сопряжено с решением ряда довольно сложных проблем технического характера, например, с необходимостью использования одновременно преломляющей и отражательной оптики в конструкции проекционной системы. Как следствие, необходимые материальные и временные затраты на разработку и адаптацию указанного фотолитографического процесса могут оказаться сопоставимыми с предполагаемыми затратами в области альтернативных литографических технологий.

Принимая во внимание все вышесказанное, целью данной работы было определение возможностей адаптации оптической литографии в области критических размеров менее 0.2 мкм. В конечном счете, проводимое исследование должно было помочь очертить круг поколений ИС в области суб-0.2мкм критических размеров, производство которых представляется возможным в каждом из фотолитографических процессов, упомянутых выше. Кроме того, сравнительный анализ характеристик всех рассматриваемых процессов должен был позволить установить примерные ниши их будущего использования с точки зрения соотношения между ростом ожидаемых затрат и достигаемым улучшением при переходе от одного процесса к другому.

В качестве метода проведения вьппеобозначенного исследования было решено использовать математическое моделирование реального фотолитографического процесса. Данный выбор был обусловлен тем обстоятельством, что проведение полного цикла НИОКР в области проектирования и промышленного производства современных ИС уже невозможно без использования адекватных средств математического моделирования (СММ) соответствующих процессов и устройств, в основном, по соображениям стоимости конечного продукта и времени его выхода на рынок [12]. Кроме того, исследование возможностей какого-либо литографического процесса зачастую осложняется отсутствием на момент проведения самого исследования промышленных установок экспонирования. Как следствие, на этапе предварительного исследования различных литографических технологий возрастает роль вычислительного эксперимента как одного из основных инструментов получения численных характеристик исследуемого литографического процесса.

В этой связи стоит отметить, что наибольший прогресс в использовании математического моделирования был достигнут именно в фотолитографии, где имеется большое количество коммерчески доступных программ, моделирующих как весь фотолитографический процесс, так и отдельные его аспекты [13, 14]. Как правило, стоимость оснащения одного рабочего места наиболее полнофункциональными из подобных программ составляет несколько тысяч долларов США, что делает затруднительным их использование в исследовательских, некоммерческих проектах. Вместе с тем, в лаборатории Математического моделирования физико-технологических процессов микроэлектроники (ЛММФТПМ) Физико-технологического института РАН исследования в области математического моделирования различных литографических технологий проводились с начала 80-х гг., и значительный опыт был накоплен в том числе и в области моделирования оптической литографии [15]. В частности, разработанный в ЛММФТПМ программный комплекс по моделированию оптической литографии (программы PHOTO-LAMZ, LAZER

LAMZ и MASK-LAMZ [16]) ни в чем не уступал, а во многом и превосходил возможности появившихся тогда же зарубежных аналогов SAMPLE и PROLITH [17].

Однако, вместе с продолжающимся прогрессом в области фотолитографических технологий, разработанные ранее в ЛММФТПМ модели и методы стали нуждаться в дальнейшем развитии и усовершенствовании. Поэтому необходимым условием для проведения вышеобозначенного исследования была разработка и верификация адекватных физических моделей и математических методов, предназначенных для моделирования современного фотолитографического процесса. Соответственно, научная новизна данной работы заключается в том, что:

1) Были разработаны строгие модели формирования оптического изображения современными проекционными системами, с возможностью моделирования таких методов улучшения разрешающей способности как:

- объективы с числовой апертурой 0.6 и выше (т.н. высокоапертурные объективы);

- фазовые шаблоны;

- внеосевые источники.

2) Для обеспечения высокой точности и скорости расчета скрытого изображения в резисте было разработано и апробировано строгое скалярное приближение.

3) Для моделирования процесса жидкостного проявления резиста была существенно улучшена производительность хорошо известного метода ячеек.

4) Для моделирования современных высокочувствительных и химически усиленных резистов были адаптированы предложенные ранее модели фоторезистов, а также разработана соответствующая методика определения модельных параметров скорости проявления резиста.

Практическая ценность работы заключается в следующем:

1) Во время подготовки к работе были систематизированы и проанализированы основные приложения математического моделирования в исследовании, разработке и оптимизации фотолитографических процессов.

2) Модели формирования оптического, скрытого и проявленного изображений, разработанные в результате выполнения данной работы, были проверены на большом множестве экспериментальных и расчетных данных, опубликованных другими авторами, что позволяет говорить о высокой достоверности выводов, полученных с помощью разработанных моделей.

3) В результате выполнения данной работы были получены значения предельной разрешающей способности, достижимой в каждом из рассматриваемых фотолитографических процессов при использовании различных комбинаций числовой апертуры и фотошаблонов, а также изучено изменение доступной глубины фокуса при уменьшении размеров воспроизводимых элементов. На основании полученных данных были сделаны выводы о возможных нишах использования рассмотренных фотолитографических процессов в производстве ИС с суб-0.2мкм минимальными размерами.

Структура работы. Работа состоит из введения, трех глав и заключения. Во время предварительного изучения литературы автор столкнулся с отсутствием детального анализа возможностей математического моделирования в исследовании, разработке и оптимизации современного фотолитографического процесса. Поэтому в первой главе проводится указанный анализ, в том числе описываются методики получения основных характеристик фотолитографического процесса, таких как предельная разрешающая способность и глубина фокуса, а также обсуждаются основные трудности, возникающие при практическом использовании математического моделирования.

Во второй главе сформулированы основные модели оптической литографии, разработанные автором в рамках данного исследования, а также приводятся основные результаты по верификации и применимости этих моделей.

В третьей главе приводятся результаты исследования вышеупомянутых фотолитографических процессов с помощью моделей, описанных в главе 2, и согласно методикам, изложенным в главе 1.

В конце каждой главы имеется раздел Выводы, резюмирующий основные результаты, полученные в данной главе. В разделе Заключение собраны основные выводы, сделанные в ходе всей работы.

Поскольку основная масса публикаций в мире по исследуемой тематике в настоящее время осуществляется на английском языке, автор счел нужным привести устоявшиеся английские варианты русских терминов, вводимых по ходу изложения. В конце работы также приводится список используемых сокращений.

Апробация работы. Результаты, представленные в работе, были опубликованы в работах [18, 19, 20, 21, 22, 23], докладывались на следующих международных конференциях: SPIE Microlithography Symposium 1996 - Optical Microlithography IX (Санта-Клара, США), SPIE Microlithography Symposium 1997 - Optical Microlithography X (Санта-Клара, США), SPIE Microlithography Symposium 1998 - Emerging Lithographic Technologies II (Санта-Клара, США), а также семинарах ФТИРАН.